论坛风格切换切换到宽版
发帖 回复
返回列表  提醒:不能用迅雷等P2P下载,否则下载失败标(二级)的板块,需二级才能下载,没二级不要购买,下载不了
  • 10578阅读
  • 40回复

[分享]电子类常见笔试试题 [复制链接]

上一主题 下一主题
离线2dmin
 

性别:
帅哥
发帖
15760
金币
13894
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看楼主 正序阅读 使用道具 0楼 发表于: 2012-05-01
一、模拟电路 AJ;u&&c4C\  
1、基尔霍夫定理的内容是什么?(仕兰微电子 ]C}u- B746  
基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等.基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零. q|47;bK'  
2、平板电容公式(C=εS/4πkd)。(未知) Gt\K Ln  
3、最基本的如三极管曲线特性。(未知) gFWEodx,9  
4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) $I}Hk^X  
5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非 线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) \3n{w   
6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) G1#Bb5q:  
7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) [a>JG8[ ,t  
8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) |xsV(jK8  
9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) Dyouk+08x  
10、给出一差分电路,告诉其输出电压Y 和Y-,求共模分量和差模分量。(未知) U}RS*7`  
11、画差放的两个输入管。(凹凸) ~uhyROO,G"  
12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路。(仕兰微电子) e' /  
13、用运算放大器组成一个10倍的放大器。(未知) 0@sr NuW  
14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的rise/fall时间。(Infineon笔试试题) [#hl}q(P#  
15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题) s0XRL1kWr  
18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题) +!L_E6pyXE  
19、一个四级的Mux,其中第二级信号为关键信号 如何改善timing。(威盛VIA2003.11.06 上海笔试试题) ADLa.{  
20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知) @n~>j&Kp  
21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知) |l6<GWG+  
22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题) Oi kU$~|  
23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) }Ll3AR7\  
24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09) )F%wwc^r  
25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain? rx}ujjx  
26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子) ga{25q}"  
27、用mos管搭出一个二输入与非门。(扬智电子笔试) A/$KA'jX  
28、please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09) hdsgOu  
29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试) ,IT)zCpaBP  
30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题) 6uCk0 B|  
31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试) Kbz7  
32、画出Y=A*B C的cmos电路图。(科广试题) Ew?/@KAV\  
33、用逻辑们和cmos电路实现ab cd。(飞利浦-大唐笔试) j^aQ>(t(9  
34、画出CMOS电路的晶体管级电路图,实现Y=A*B C(D E)。(仕兰微电子) VeN&rjc  
35、利用4选1实现F(x,y,z)=xz yz’。(未知) YL9t3 ]  
36、给一个表达式f=xxxx xxxx xxxxx xxxx用最少数量的与非门实现(实际上就是化简)。 g6@NPQ  
37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试) 7G>0,'XC  
38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知) os(Jr!p_=  
39、用与非门等设计全加法器。(华为) r.a9W? (E  
40、给出两个门电路让你分析异同。(华为) ^7~SS2t!  
41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子) H%01&u  
42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知) vHI"C %  
43、用波形表示D触发器的功能。(扬智电子笔试) TN |{P  
44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试) YA;8uMqh;  
45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题) bn*{*=(|  
46、画出DFF的结构图,用verilog实现之。(威盛) ](9{}DHV  
47、画出一种CMOS的D锁存器的电路图和版图。(未知) PFDWC3<  
48、D触发器和D锁存器的区别。(新太硬件面试) w}bEufU+2  
49、简述latch和filp-flop的异同。(未知) -X&!dV:= 4  
50、LATCH和DFF的概念和区别。(未知) 3Q*RR"3  
51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥) O&?CoA?  
52、用D触发器做个二分颦的电路.又问什么是状态图。(华为) ,syA()  
53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试) DETajf/<F  
54、怎样用D触发器、与或非门组成二分频电路?(东信笔试) j6R{  
55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频? St7D.|  
56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知) 8Snq75Q<   
57、用D触发器做个4进制的计数。(华为) LKf5r,C  
58、实现N位Johnson Counter,N=5。(南山之桥) :|m~<'g  
59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子) B yy-Cc  
60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知) ?CUp&L0-"  
61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥) o$blPTN  
62、写异步D触发器的verilog module。(扬智电子笔试) E9Xk8w'+  
module dff8(clk , reset, d, q); r;%zG Fp  
input clk; M;p q2$   
input reset; :LIKp;  
input [7:0] d; L yA(.  
output [7:0] q; E!}-qbH^  
reg [7:0] q; C>\!'^u1  
always @ (posedge clk or posedge reset) /qkIoF2  
if(reset) zy/tQGTr@  
q <= 0; ~gi( 1<#  
else ^pQ;0[9Y0  
q <= d; "PX3%II  
endmodule P{i8  
63、用D触发器实现2倍分频的Verilog描述? (汉王笔试) F&L?J_=  
module divide2( clk , clk_o, reset); bJ,=yB+0  
input clk , reset; $NGtxZp  
output clk_o; l LD)i J1  
wire in; VU+s7L0  
reg out ; |L_g/e1A3  
always @ ( posedge clk or posedge reset) xwH|ryfs,Z  
if ( reset) B> " r-O  
out <= 0; 4|Gs(^nU  
else 8 {4D|o#O  
out <= in; RkH oT^  
assign in = ~out; v/TlXxfil  
assign clk_o = out; E=1/  
endmodule N>6yacTB  
64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试) Znl>*e/|  
PAL,PLD,CPLD,FPGA u&d v[  
module dff8(clk , reset, d, q); %}]4Nsde  
input clk; Mevyj;1t  
input reset; _F|_C5A  
input d; Ye=7Y57Nr  
output q; nhX p_Z9  
reg q; v!RB(T3  
always @ (posedge clk or posedge reset) VF!kr1n!  
if(reset) Lc:SqF  
q <= 0; iQ}sp64  
else E5[]eg~w%{  
q <= d; 4FHX#`  
endmodule D)~nAkVq  
65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子) ) Q  
66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知) @~U6=(+  
67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知) v^18o$=K",  
68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题) }Keon.N?   
69、描述一个交通信号灯的设计。(仕兰微电子) u*-<5& X  
70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试) Jgv>$u  
71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知) }`/n2  
72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计工程中可使用的工具及设计大致过程。(未知) nIqY}??  
73、画出可以检测10010串的状态图,并verilog实现之。(威盛) H"RF[bX(  
74、用FSM实现101101的序列检测模块。(南山之桥) H.mQbD`X  
a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。例如a: 0001100110110100100110 U;Y}2  
b: 0000000000100100000000 'S D|ObBY  
请画出state machine;请用RTL描述其state machine。(未知) A&lgiR*ObT  
75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐笔试) Q.E^9giC  
76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试) j!_^5d#d  
77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微电子) K\E]X\:  
78、sram,falsh memory,及dram的区别?(新太硬件面试) D*r Zaqy  
79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9 -14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,增大电容存储容量)(Infineon笔试) [BR}4(7  
80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题circuit design-beijing-03.11.09) bvu<IXX=2  
81、名词:sram,ssram,sdram 名词IRQ,BIOS,USB,VHDL,SDR <<zz*;RJJ  
IRQ: Interrupt ReQuest     GH+FZ (F  
BIOS: Basic Input Output System   ;3?J#e6;  
USB: Universal Serial Bus f`]E]5?  
VHDL: VHIC Hardware Deion Language    SDR: Single Data Rate |e; z"-3  
压控振荡器的英文缩写(VCO)。动态随机存储器的英文缩写(DRAM)。 {f-/,g~  
名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 =^AZx)Kwd  
二、IC设计基础(流程、工艺、版图、器件) 2#A9D.- h  
1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。(仕兰微面试题目) 2c`=S5  
2、FPGA和ASIC的概念,他们的区别。(未知) #.L0]Uqcp  
答案:FPGA是可编程ASIC。 f5qHBQ  
ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 ,<j5i?  
3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目) hsVJ&-#  
4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) )7%]<2V%  
5、描述你对集成电路设计流程的认识。(仕兰微面试题目) W]Tt8  
6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) YGpp:8pen  
7、IC设计前端到后端的流程和eda工具。(未知) j72] _G  
8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) ="[](X^ l  
9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) `1}?{ud  
10、写出asic前期设计的流程和相应的工具。(威盛) 7{6.  
11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) /z?7ic0  
先介绍下IC开发流程: 3pjYY$'  
1.)代码输入(design input) ;Owu:}   
用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 ggsi`Z{j?  
语言输入工具:SUMMIT VISUALHDL Rf0\CEc  
MENTOR RENIOR #5:A?aj  
图形输入: composer(cadence); gsm^{jB  
viewlogic (viewdraw) B|$13dHfa  
2.)电路仿真(circuit simulation) `ur9KP4Dq  
将vhd代码进行先前逻辑仿真,验证功能描述是否正确  glX2L ~  
数字电路仿真工具: =y]b|"s~2  
Verolog: CADENCE Verolig-XL ^Ro du  
SYNOPSYS VCS m+^;\DFJ,  
MENTOR Modle-sim NITx;iC  
VHDL : CADENCE NC-vhdl T9<nD"=:  
SYNOPSYS VSS QuRg(K%:  
MENTOR Modle-sim ` +UMZc  
模拟电路仿真工具: $D\l%y/C  
***ANTI HSpice pspice,spectre micro microwave: eesoft : hp =(5GU<}  
3.)逻辑综合(synthesis tools) W3/Stt$D  
逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。 v oS"X  
12、请简述一下设计后端的整个流程?(仕兰微面试题目) LU7)F,ok  
13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素?(仕兰微面试题目) j3-6WUO  
14、描述你对集成电路工艺的认识。(仕兰微面试题目) vFC=qLz:  
15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题目) 17]31  
16、请描述一下国内的工艺现状。(仕兰微面试题目) =i>F^7)U1  
17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目) q>4i0p8^  
18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目) |ylTy B  
19、解释latch-up现象和Antenna effect和其预防措施.(未知) v!hs~DnUZ  
20、什么叫Latchup?(科广试题) &u\z T P  
21、什么叫窄沟效应? (科广试题) !!O{ ppM  
22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?(仕兰微面试题目) UKQ&TV}0  
23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微面试题目) `v2l1CQ: ^  
24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转移特性。(Infineon笔试试题) XJ/ kB8  
25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题) m_I$"ge  
26、Please explain how we describe the resistance in semiconductor. Compare the resistance of a l,poly and diffusion in tranditional CMOS process.(威盛笔试题circuit design-beijing-03.11.09) < LAD  
27、说明mos一半工作在什么区。(凹凸的题目和面试) |&'] ms5J  
28、画p-bulk 的nmos截面图。(凹凸的题目和面试) &B0&183  
29、写schematic note(?), 越多越好。(凹凸的题目和面试) Y]Vc}-a(h  
30、寄生效应在ic设计中怎样加以克服和利用。(未知) J15T!_AW<  
31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软件: Cadence,Synopsys, Avant,UNIX当然也要大概会操作。 mg/kyua^  
32、unix 命令cp -r, rm,uname。(扬智电子笔试) .ehvhMuG|  
___________________________________________________________ H[k3)r2  
三、单片机、MCU、计算机原理 tsVhPo]e0  
1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流向。简述单片机应用系统的设计原则。(仕兰微面试题目) }v ,P3  
2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若有,则写出每片2716的重叠地址范围。(仕兰微面试题目) R+hS;F nh%  
3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试题目) lfeWtzOf  
4、PCI总线的含义是什么?PCI总线的主要特点是什么? (仕兰微面试题目) 'c{]#E1}  
5、中断的概念?简述中断的过程。(仕兰微面试题目) U (7P X`1  
6、如单片机中断几个/类型,编中断程序注意什么问题;(未知) c7!`d.{90  
7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八位二进制数N),要求占空比为N/256。 (仕兰微面试题目) )qXe`3 d5  
下面程序用计数法来实现这一功能,请将空余部分添完整。 w=o m7%J@l  
MOV P1,#0FFH #%tL8/K*  
LOOP1 :MOV R4,#0FFH [4rMUS7-m"  
-------- ;]x5;b9`  
MOV R3,#00H =,G(1#  
LOOP2 :MOV A,P1 u$p|hd d  
-------- =u"|qD  
SUBB A,R3 bx e97]  
JNZ SKP1 yOzKux8kB  
-------- F <Z=%M3e  
SKP1:MOV C,70H U2nRgd  
MOV P3.4,C (k.7q~:  
ACALL DELAY :此延时子程序略 _cN)q  
-------- =:8=5tj  
-------- }AYSQ~:  
AJMP LOOP1 =Fl4tY#X  
8、单片机上电后没有运转,首先要检查什么?(东信笔试题) q#-H+7 5  
9、What is PC Chipset? (扬智电子笔试) FY*0gp  
    芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量ISA/PCI/AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。 $_5v^QL  
 除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。 B4O a7$M/U  
10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题。(未知) )!-'SH  
11、计算机的基本组成部分及其各自的作用。(东信笔试题) c &(,  
12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。 (汉王笔试) ~kT{O!x}4  
13、cache的主要部分什么的。(威盛VIA 2003.11.06 上海笔试试题) 1M+!cX  
14、同步异步传输的差异(未知) g``4U3T%X  
15、串行通信与同步通信异同,特点,比较。(华为面试题) 1V?)zp  
16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题) O#Hz5 A5  
四、信号与系统 ur7a%NH  
1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?(仕兰微面试题目) x:lf=D lA  
2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题) ~J:lC u  
3、如果模拟信号的带宽为 5khz,要用8K的采样率,怎么办?lucent) 两路? (oEA)yc|  
4、信号与系统:在时域与频域关系。(华为面试题) W<7Bq_L[|  
5、给出时域信号,求其直流分量。(未知) qrh7\`,.m/  
6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。(未知) rdg1<Z  
7、sketch 连续正弦信号和连续矩形波(都有图)的傅立叶变换 。(Infineon笔试试题) %&(\dt&R1h  
8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题) "ZW*O{  
____________________________________________________________ S-|$sV^cG  
五、DSP、嵌入式、软件等 =@l5He.]&  
1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题目) LnX^*;P5t  
2、数字滤波器的分类和结构特点。(仕兰微面试题目) -v@^6bQVp  
3、IIR,FIR滤波器的异同。(新太硬件面题) j,jUg}b  
4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1) b*δ(n) a.求h(n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知) &>+Z$ZD  
5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威dsp软件面试题) '|R|7nQAj  
6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题) {qyo#  
7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题) m:CpDxzbf  
8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威dsp软件面试题) ;\.&FMi  
9、DSP的结构(哈佛结构);(未知) A79SAheX#  
10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了;(未知) WLH2B1_):  
11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目? DLZ63'  
12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统(300M CPU,50M SDRAM)中是否还需要优化? (Intel) Mla,"~4D5  
13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目) h,G$e|[?  
14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目) :+$_(* Z  
15、A) (仕兰微面试题目) n\U6oJN  
#include |lY8u~%  
void testf(int*p) ' ?t{-z,  
{ +.St"f/1  
*p =1; (@9}FHJzi  
}  tvILLR  
main() P6rL;_~e  
{ tnntHQ&b  
int *n,m[2]; u5[Wr:  
n=m; /qG?(3  
m[0]=1; X3vrD{uNU  
m[1]=8; z)Gr`SA<  
testf(n); qm@hD>W+  
printf("Data v alue is %d ",*n); 59u7q(  
} "`zw(  
------------------------------ V6N#%(?3  
B) 1'U-n{fD  
#include 0)#I5tEre  
void testf(int**p) 6 ?cV1:jh  
{ S7R^%Wck/6  
*p =1; FS[CUoA  
} gnt[l0m  
main() w*0T"hK  
{int *n,m[2]; Ff30%  
n=m; zi'?FM[f)  
m[0]=1; pQD8#y)`C  
m[1]=8; {S c1!2q  
testf(&n); 3%k+<ho(  
printf(Data v alue is %d",*n); m6}"g[nN  
} p /:L;5F  
下面的结果是程序A还是程序B的? m`t7-kiZ  
Data v alue is 8 F7d f  
那么另一段程序的结果是什么? qabM@+m[  
16、那种排序方法最快? (华为面试题) 4*]`s|fbu  
17、写出两个排序算法,问哪个好?(威盛) LT!4pD:a  
18、编一个简单的求n!的程序 。(Infineon笔试试题) -z@}:N-uR  
19、用一种编程语言写n!的算法。(威盛VIA 2003.11.06 上海笔试试题) %3cBh v[q4  
20、用C语言写一个递归算法求N!;(华为面试题) =y ff.3mW\  
21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题) &fWZ%C7|jC  
22、防火墙是怎么实现的? (华为面试题) WA+v&* ]  
23、你对哪方面编程熟悉?(华为面试题) EC7o 3LoND  
24、冒泡排序的原理。(新太硬件面题) {k>m5L  
25、操作系统的功能。(新太硬件面题) \AG ,dMS  
26、学过的计算机语言及开发的系统。(新太硬件面题) Vs(;al'  
27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正 方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛) #t\Oq9}^  
28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt) (威盛VIA2003.11.06 上海笔试试题) zuOIos  
29、用C语言写一段控制手机中马达振子的驱动程序。(威胜) qe0@tKim  
30、用perl或TCL/Tk实现一段字符串识别和比较的程序。(未知) l#%w,gX  
31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地 址还是高端。(未知) Sx}h$E:  
32、一些DOS命令,如显示文件,拷贝,删除。(未知) nt7ui*k  
33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象 实例。(IBM) ?mMd6U&J  
34、What is pre-emption? (Intel) Q8O38uZ  
35、What is the state of a process if a resource is not available? (Intel) '#XP:nqFkK  
36、三个 float a,b,c;问值(a b) c==(b a) c, (a b) c==(a c) b。(Intel) 7dLPy[8";t  
37、把一个链表反向填空。 (lucent) nHhg#wR  
38、x^4 a*x^3 x^2 c*x d 最少需要做几次乘法? (Dephi) b]Jh0B~Y  
____________________________________________________________ nt4>9;  
六、主观题 ){/y-ixH  
1、你认为你从事研发工作有哪些特点?(仕兰微面试题目) tcs Z! #  
2、说出你的最大弱点及改进方法。(威盛VIA 2003.11.06 上海笔试试题) }=++Lr4*  
3、说出你的理想。说出你想达到的目标。 题目是英文出的,要用英文回答。(威盛VIA 2003.11.06 上海笔试试题) ,]+6kf5  
4、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术 设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成 电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究. jtA Yp3M-$  
你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的人员可以详细描述你的研发经历)。(仕兰微面试题目) =|6IyL_N  
5、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识?(仕兰微面试题目) ?x:\RNB/  
6、设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括 原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?电源的稳定,电 容的选取,以及布局的大小。 UJQ!~g.y]  
ms~ mg:  


评价一下你浏览此帖子的感受

精彩

感动

搞笑

开心

愤怒

一般

差劲

性别:
人妖
发帖
1205
金币
1176
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 40楼 发表于: 2022-08-25
有答案?有答案就更好了



性别:
人妖
发帖
1205
金币
1176
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 39楼 发表于: 2022-08-25
好多不会


离线夏玄

性别:
人妖
发帖
2512
金币
4129
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 38楼 发表于: 2022-08-24
bAS('R;4  


离线熊二

性别:
人妖
发帖
648
金币
739
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 37楼 发表于: 2022-04-23



性别:
帅哥
发帖
4
金币
3
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 36楼 发表于: 2022-02-16
全不会



性别:
帅哥
发帖
123
金币
28
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 35楼 发表于: 2022-01-19
基本都不会


离线lis998

性别:
帅哥
发帖
2438
金币
11
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 34楼 发表于: 2022-01-10
    



性别:
帅哥
发帖
361
金币
269
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 33楼 发表于: 2021-09-14


离线翔羽

性别:
人妖
发帖
41
金币
34
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 32楼 发表于: 2020-05-20
都学过但都还回去,怎么办,回炉重造吧



性别:
人妖
发帖
59
金币
596
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 31楼 发表于: 2020-01-25
收藏



性别:
人妖
发帖
72
金币
43
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 30楼 发表于: 2019-10-31
厉害,学习了。



性别:
人妖
发帖
1215
金币
133
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 29楼 发表于: 2019-09-19
这个牛


离线佳杰

性别:
帅哥
发帖
129
金币
106
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 28楼 发表于: 2019-09-16
e|{6^g<ru  


离线mxy遥远

性别:
帅哥
发帖
41
金币
39
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 27楼 发表于: 2019-06-11
这个确实受益匪浅


快速回复
限150 字节
 
上一个 下一个