论坛风格切换切换到宽版
发帖 回复
返回列表  提醒:不能用迅雷等P2P下载,否则下载失败标(二级)的板块,需二级才能下载,没二级不要购买,下载不了
  • 1255阅读
  • 1回复

[最新新闻]新思科技设计平台支持三星2.5D-IC多颗裸晶芯片集成技术 [复制链接]

上一主题 下一主题
离线似水流年
 

性别:
帅哥
发帖
8104
金币
6837
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看楼主 倒序阅读 使用道具 0楼 发表于: 2019-12-10
Z6&s 6MF  
b`n+[UCPtn  
新思科技设计平台支持三星2.5D-IC多颗裸晶芯片集成技术 Rg&6J#h  
#K7i<Bf  
 "7!K'i  
-新思科技和三星携手提供定制化解决方案,为5G、人工智能和高性能计算应用提供支持 ?lb1K'(  
0k5Z l?  
重点: yI9l*'  
-A9 !Y{Z  
• 新思科技Fusion设计平台和定制设计平台为7LPP芯片和SUB20LPIN硅中介层(silicon interposer)提供支持 i:WHql"Kw_  
@A6\v+ih  
• 通过三星定制化设计流程可以即时为代工厂客户部署 v&(X& q  
[pbX_  
新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布推出设计解决方案来支持三星采用EUV光刻技术的7纳米LPP (Low Power Plus) (7LPP)上的2.5D-IC多颗裸晶芯片集成(MDI)技术。新思科技Fusion设计平台和定制设计平台能够加快原型设计和分析,帮助设计人员应对来自5G、人工智能和高性能计算(HPC)等加速发展市场的上市时间压力。 ?B %y)K  
tc@U_>{  
三星Design Technology Team的Vice President, Jung Yun Choi表示:“多颗芯片和封装之间的耦合噪音会导致无法预测的性能问题。随着设计复杂度的日益提高,在后期设计阶段解决2.5D-IC系统问题变得更加困难。三星MDI设计流程集成了早期系统级探路所需的分析和设计实现功能,让客户克服性能问题的同时,实现具有成本效益的2.5D-IC产品。通过我们的合作,客户可以提前他们的开发日程并实现性能驱动产品的同时,缩短解决问题的时间。” rsf A.o  
<Ebkb3_  
新思科技 Fusion 设计平台和定制设计平台支持的三星代工厂的7LPP 2.5D-IC MDI的关键产品和特征包括: )BTJs)E  
&a8#qv"l  
• Fusion Compiler RTL-to-GDSII解决方案:全自动硅中介层布线、微凸块、硅通孔和C4凸块间的最佳自动布局和布线 i^uC4S~  
f? F i{m  
• IC Compiler II布局和布线:全面支持中介层制造、裸晶芯片间布局和布线以及中介层通道和电源布线 b]s=Uv#)  
ja~b5Tf9  
• RedHawk Analysis Fusion In-Design EM/IR:多颗裸晶芯片和硅中介层的无缝 In-Design EM/IR分析、通过清除遗漏过孔、开路或短路连线实现强大的电源分配网络设计,与ANSYS® RedHawk 签核分析的结果一致 *|Re,cY  
kTex>1W;  
• Custom Compiler设计环境:基于功能强大的原理的简单配置和SPICE deck自动生成功能实现用于HBM和高速接口(HSI)通道的电源和信号完整性分析 6mEW*qp2F  
UL[4sv6\9  
• HSPICE®信号完整性分析:PCIe Gen4的线性、瞬态和StatEye分析 VzpPopD,QW  
P58U8MEG  
• FineSim®电源和信号完整性分析:针对电源完整性、串扰、抖动的AC和瞬态分析以及HBM SSO分析 _\"P<+!  
B>o\;)l3O  
新思科技芯片设计集团营销与战略副总裁Michael Sanie表示:“随着人们对人工智能、高性能计算和5G等加速发展市场的多颗裸晶芯片集成越来越感兴趣,客户需要新的解决方案来解决传统手工设计不足以应对的最新电源和信号噪声挑战。新思科技设计解决方案使多颗裸晶芯片集成设计环境更容易、更高效,为三星的客户提供更快、更高性能的2.5D-IC产品。”


评价一下你浏览此帖子的感受

精彩

感动

搞笑

开心

愤怒

一般

差劲
离线记好586

性别:
帅哥
发帖
3043
金币
994
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看该作者 1楼 发表于: 2019-12-10
g8x8u|  


快速回复
限150 字节
 
上一个 下一个