论坛风格切换切换到宽版
发帖 回复
返回列表  提醒:不能用迅雷等P2P下载,否则下载失败标(二级)的板块,需二级才能下载,没二级不要购买,下载不了
  • 1309阅读
  • 0回复

[业界/制造]IC设计前后端流程与EDA工具 [复制链接]

上一主题 下一主题
离线ting101220
 

性别:
帅哥
发帖
54
金币
544
提示:会员销售的附件,下载积分 = 版块积分 + 销售积分       只看楼主 倒序阅读 使用道具 0楼 发表于: 2017-12-06
IC前端设计(逻辑设计)和后端设计(物理设计)的区分: a^E>LJL  
以设计是否与工艺有关来区分二者;从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路 S#HeOPRL  
#3{}(T7  
前端设计的流程及使用的EDA工具
1、架构的设计与验证 Q-#<{' (  
按照要求,对整体的设计划分模块。 .O(9\3q\  
架构模型的仿真可以使用Synopsys公司的CoCentric软件,它是基于System C的仿真工具。 7/k7V)  
oSf`F1;)HQ  
2、HDL设计输入
gyV`]uqG  
设计输入方法有:HDL语言(Verilog或VHDL)输入、电路图输入、状态转移图输入。 a#[gNT~[  
使用的工具有:Active-HDL,而RTL分析检查工具有Synopsys的LEDA。 @Bjp7v :w  
UL#:!J/34  
3、前仿真工具(功能仿真)
V"2 G  
初步验证设计是否满足规格要求。 \/gf_R_GN  
使用的工具有:Synopsys的VCS,Mentor的ModelSim,Cadence的Verilog-XL,Cadence的NC-Verilog。 ?*r%*CL  
K :+q9;g  
4、逻辑综合
*:"60fkoU  
将HDL语言转换成门级网表Netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准;逻辑综合需要指定基于的库,使用不同的综合库,在时序和面积上会有差异。逻辑综合之前的仿真为前仿真,之后的仿真为后仿真。 r$'.$k\  
使用的工具有:Synopsys的Design Compiler,Cadence的 PKS,Synplicity的Synplify等。 pR=R{=}wV  
>,'guaa  
5、静态时序分析工具(STA)
,Shzew+  
在时序上,检查电路的建立时间(Setuptime)和保持时间(Hold time)是否有违例(Violation)。 WS(m#WFQr  
使用的工具有:Synopsys的Prime Time。 + @|u8+  
Ruq>+ }4  
6、形式验证工具
+ ZiYl[_|  
在功能上,对综合后的网表进行验证。常用的就是等价性检查(Equivalence Check)方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。 So e2Gq  
使用的工具有:Synopsys的Formality % WXl*  
H"k\(SPVS  
后端设计的流程及使用的EDA工具
1. 数据准备 U:eX^LE7  
对于 CDN 的 Silicon Ensemble而言后端设计所需的数据主要有是Foundry厂提供的标准单元、宏单元和I/O Pad的库文件,它包括物理库、时序库及网表库,分别以.lef、.tlf和.v的形式给出。前端的芯片设计经过综合后生成的门级网表,具有时序约束和时钟定义的脚本文件和由此产生的.gcf约束文件以及定义电源Pad的DEF(Design Exchange Format)文件。(对synopsys 的Astro 而言, 经过综合后生成的门级网表,时序约束文件 SDC 是一样的,Pad的定义文件--tdf  , .tf 文件 --technology file,Foundry厂提供的标准单元、宏单元和I/O Pad的库文件 就与FRAM, CELL view, LM view形式给出(Milkway 参考库 and DB, LIB file) !7Qj8YmS  
8g-Z~~0W1  
2. 布局规划
&m[}%e%~0  
主要是标准单元、I/O Pad和宏单元的布局。I/OPad预先给出了位置,而宏单元则根据时序要求进行摆放,标准单元则是给出了一定的区域由工具自动摆放。布局规划后,芯片的大小,Core的面积,Row的形式、电源及地线的Ring和Strip都确定下来了。如果必要 在自动放置标准单元和宏单元之后, 你可以先做一次PNA(power network analysis)--IR drop and EM . < 1m `  
{D(l#;,iX2  
3.Placement -自动放置标准单元
F$|:'#KN  
布局规划后,宏单元、I/O Pad的位置和放置标准单元的区域都已确定,这些信息SE(Silicon Ensemble)会通过DEF文件传递给PC(Physical Compiler),PC根据由综合给出的.DB文件获得网表和时序约束信息进行自动放置标准单元,同时进行时序检查和单元放置优化。如果你用的是PC +Astro那你可用write_milkway, read_milkway  传递数据。 Qb%; |li  
qwnVtD  
4.  时钟树生成(CTS Clock tree synthesis)
.d$Q5Qae  
芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟源端门单元带载很多,其负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。时钟网络及其上的缓冲器构成了时钟树。一般要反复几次才可以做出一个比较理想的时钟树。---Clock skew. . .S3-(xW  
Hg8 4\fA  
5.  STA 静态时序分析和后仿真
BhbfPQ  
时钟树插入后,每个单元的位置都确定下来了,工具可以提出Global Route形式的连线寄生参数,此时对延时参数的提取就比较准确了。SE把.V和.SDF文件传递给PrimeTime做静态时序分析。确认没有时序违规后,将这来两个文件传递给前端人员做后仿真。对Astro 而言,在detail routing 之后,用starRC XT 参数提取,生成的E.V和.SDF文件传递给PrimeTime做静态时序分析,那将会更准确。 4KSq]S.  
&+ PVY>q  
6.  ECO(Engineering Change Order)
.3n\~Sn  
针对静态时序分析和后仿真中出现的问题,对电路和单元布局进行小范围的改动. |;t{L^  
vlZmmQeJm  
7. Filler的插入(padfliier, cell filler)
`' EG7  
Filler指的是标准单元库和I/O Pad库中定义的与逻辑无关的填充物,用来填充标准单元和标准单元之间,I/O Pad和I/O Pad之间的间隙,它主要是把扩散层连接起来,满足DRC规则和设计需要。 B B'qbX3xK  
KLVYWZib  
8. 布线(Routing)
"AKr;|m  
Global route-- Trackassign --Detail routing--Routingoptimization 布线是指在满足工艺规则和布线层数限制、线宽、线间距限制和各线网可靠绝缘的电性能约束的条件下,根据电路的连接关系将各单元和I/O Pad用互连线连接起来,这些是在时序驱动(Timing driven ) 的条件下进行的,保证关键时序路径上的连线长度能够最小。--Timing report clear YRf$?xa  
@OUBo;/  
9. Dummy Metal的增加
}lhk;#r  
Foundry厂都有对金属密度的规定,使其金属密度不要低于一定的值,以防在芯片制造过程中的刻蚀阶段对连线的金属层过度刻蚀从而降低电路的性能。加入Dummy Metal是为了增加金属的密度。 P O0Od z  
_/cX!/"  
10. DRC和LVS
u>agVB4\F  
DRC是对芯片版图中的各层物理图形进行设计规则检查(spacing ,width),它也包括天线效应的检查,以确保芯片正常流片。LVS主要是将版图和电路网表进行比较,来保证流片出来的版图电路和实际需要的电路一致。DRC和LVS的检查--EDA工具Synopsy hercules/ mentor calibre/ CDN Dracula进行的.Astro also include LVS/DRCcheck commands. ^-mWk?>  
LikCIO  
11.  Tape out
?1 Vx)j>|  
在所有检查和验证都正确无误的情况下把最后的版图GDSⅡ文件传递给Foundry厂进行掩膜制造。 :V#xrH8R  
3vAP&i'I  
(以上总结可能不全或者有些已经不合适了,欢迎大家留言补充) #Yp&yi }  


评价一下你浏览此帖子的感受

精彩

感动

搞笑

开心

愤怒

一般

差劲
快速回复
限150 字节
 
上一个 下一个