我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索

版块导航

综合交流论坛
逆天资讯
51单片机 | STM32 | AVR
FPGA | CPLD | DSP
ARM论坛
数 | 模电子
拆机 | DIY | 维修
程序开发
手机平板论坛
Layoutguide指南 | Checklist
维修图纸固件程序
DataSheet | 规格书 | 数据手册
综合论坛
『EDA设计软件交流』
PADS|Powerpcb
Allegro|OrCAD
Mentor|其它EDA
Altium|Protel
EMI | EMC |仿真
genesis | cam论坛
软件汇总
专业评审
资源区
作品文件展(二级)
作品图片展
原理图共享
封装库共享
项目开源
PDF电子书
求资料
网盘资料大杂烩
培训报名QQ:65964512
24国庆PADS_allegro七天快速入门班
Allegro240812初级班
PADS240812初级班
allegro240402抖音公开直播初级班
Allegro-230921高级班
1元学习PADS(20230718期)
1元学习Allegro(20230718期)
Allegro-230522高级班
Pads-230522高级班
1元学习PADS(20221219期)
1元学习Allegro(2期)
1元学习Allegro(1期)
PADS20220406高级特惠班
PADS20220105初级班
PADS20210616高级班
2021-Pads国庆特训班
PADS20210412初级班
PADS20210122高级班
Pads20201123初级班
2020-PADS国庆特训班
PADS20200601高级班
PADS20200316初级班
PADS-191021高级班
PADS-190819初级班
PADS-190603高级班
PADS-190220高级班
PADS-181015高级班
Allegro-180504高级班
PADS-180606高级班
PADS-180305高级班
PADS-171101高级班
PADS-181217初级班
1元学习PADS(5期)
1元学习PADS(4期)
Allegro-171023高级班
1元学习PADS(3期)
PADS-170802高级班
PADS-170506高级班
161202初级+综合
百元学习allegro(1期)
1元学习PADS(1期)
1元学习PADS(2期)
PADS-161202高级班
已学完板块汇总
购买视频练习项目版块
培训公告
老吴专栏
规格书征集
» 非技术讨论区(乐币栏)
新手上路
举报奖励
创业论坛
升级经验
招聘/求职
承接| 广告
英语角
RMB提现
PCB换人民币
休闲 | 娱乐 | 站务 | 活动
好电影&贴图区
公告|站务
感情|闲聊
收藏本版 |订阅

项目开源 今日: 0|主题: 18243|排名: 17 

作者 回复/查看 最后发表
STM32 实验5 独立看门狗实验-3.5库函数版本.rar 771249654 2015-9-6 0408 771249654 2015-9-6 07:57
STM32 实验4 外部中断实验-3.5库函数版本.rar 771249654 2015-9-6 0415 771249654 2015-9-6 07:57
STM32 实验3 串口实验-3.5库函数版本.rar 771249654 2015-9-6 0443 771249654 2015-9-6 07:56
STM32 实验2 按键输入-3.5库函数版本.rar 771249654 2015-9-6 0478 771249654 2015-9-6 07:56
STM32 实验1 跑马灯-3.5库函数版本.rar 771249654 2015-9-6 0488 771249654 2015-9-6 07:56
大功率语音喇叭原理图 wskiqpl 2015-8-24 2562 wskiqpl 2015-9-4 15:09
汽车遥控器原理图 wskiqpl 2015-8-24 3668 wskiqpl 2015-9-4 15:06
LPC4357 7寸LCD DEMO - [售价 1 枚金币] xz85987271 2015-9-2 21189 xz85987271 2015-9-3 18:21
LPC43XX IIC EEPROM DEMO - [售价 1 枚金币] xz85987271 2015-9-2 1657 kevinz 2015-9-3 11:54
s3c2440a_14INTCtrl.pdf 1976658624 2015-9-3 0499 1976658624 2015-9-3 08:56
s3c2440a_11UART.pdf 1976658624 2015-9-3 0407 1976658624 2015-9-3 08:56
s3c2440a_10PWM&Timer.p 1976658624 2015-9-3 0497 1976658624 2015-9-3 08:55
采用STC89C54RD设计的智能家居控制系统 fuguoding 2015-9-2 2878 bidezhi7777 2015-9-2 22:03
LPC43XX xz85987271 2015-9-2 0517 xz85987271 2015-9-2 21:09
开关电源的分类和结构形式 - [售价 2 枚金币] 王者归来 2015-9-2 1689 littlemouse11 2015-9-2 18:13
电路保护设计的器件选型技巧与应用方案 - [售价 2 枚金币] 王者归来 2015-9-2 0571 王者归来 2015-9-2 16:27
电路分析总结 子宸 2015-9-2 1496 斯坦隆平 2015-9-2 15:08
stm32 红外遥控器程序 muyesun 2015-9-2 0529 muyesun 2015-9-2 13:54
STM32 实验3 串口实验-3.5库函数版本.rar 771249654 2015-9-2 0422 771249654 2015-9-2 11:52
STM32 实验2 按键输入-3.5库函数版本.rar 771249654 2015-9-2 0469 771249654 2015-9-2 11:52
STM32 实验1 跑马灯-3.5库函数版本.rar 771249654 2015-9-2 0487 771249654 2015-9-2 11:51
基于stm8智能车载空气净化器 fuguoding 2015-9-2 0827 fuguoding 2015-9-2 10:35
ARM启动代码的比较 tys0404 2015-9-2 1560 zlp507121 2015-9-2 10:17
ARM启动代码的理解 tys0404 2015-9-2 1637 daocin 2015-9-2 09:26
ARM启动代码的作用 tys0404 2015-9-2 0641 tys0404 2015-9-2 09:20
战舰STM32 7寸TFT_LCD实验 sony545154 2015-9-1 3959 ruili 2015-9-2 09:13
视频捕获程序代码 bravethewind 2015-9-1 2716 xiongxiangsheng 2015-9-2 08:54
战舰STM32 SIM900A实验 sony545154 2015-9-1 0815 sony545154 2015-9-1 21:44
战舰STM32 GSM实验 sony545154 2015-9-1 0763 sony545154 2015-9-1 21:43
智能摇头风扇51版(stc12c5a60s2) 夜幕下的 2015-9-1 1475 ksecufo 2015-9-1 21:28
300M射频遥控电路 zghnlszzy 2015-9-1 1492 danqpm 2015-9-1 13:31
舞蹈机器人 zghnlszzy 2015-9-1 1780 451506709 2015-9-1 13:17
STM32 实验20 SD卡实验-3.5库函数版本.rar 771249654 2015-9-1 2322 xiongxiangsheng 2015-9-1 09:17
STM32 实验19 无线通信实验-3.5库函数版本.rar 771249654 2015-9-1 0546 771249654 2015-9-1 08:35
STM32 实验18 触摸屏实验-3.5库函数版本.rar 771249654 2015-9-1 0450 771249654 2015-9-1 08:35
STM32 实验17 SPI实验-3.5库函数版本.rar 771249654 2015-9-1 0486 771249654 2015-9-1 08:34
STM32 实验16 IIC实验-3.5库函数版本.rar 771249654 2015-9-1 0422 771249654 2015-9-1 08:34
STM32 实验15 DMA实验-3.5库函数版本.rar 771249654 2015-9-1 0469 771249654 2015-9-1 08:33
STM32 实验14 内部温度传感器实验-3.5库函数版本.rar 771249654 2015-9-1 0497 771249654 2015-9-1 08:33
STM32 实验13 ADC实验-3.5库函数版本.rar 771249654 2015-9-1 0446 771249654 2015-9-1 08:33
STM32 实验12 待机唤醒实验-3.5库函数版本.rar 771249654 2015-9-1 0501 771249654 2015-9-1 08:32
STM32 实验11 RTC实时时钟实验-3.5库函数版本.rar 771249654 2015-9-1 0470 771249654 2015-9-1 08:32
STM32 实验10 TFTLCD显示实验-3.5库函数版本.rar 771249654 2015-9-1 0411 771249654 2015-9-1 08:28
STM32 实验9 OLED显示实验-3.5库函数版本.rar 771249654 2015-9-1 0439 771249654 2015-9-1 08:27
STM32 实验8 PWM输出实验-3.5库函数版本.rar 771249654 2015-9-1 0440 771249654 2015-9-1 08:26
STM32 实验7 定时器中断实验-3.5库函数版本.rar 771249654 2015-9-1 0460 771249654 2015-9-1 08:26
STM32 实验6 窗口看门狗实验-3.5库函数版本.rar 771249654 2015-9-1 0439 771249654 2015-9-1 08:26
STM32 实验5 独立看门狗实验-3.5库函数版本.rar 771249654 2015-9-1 0444 771249654 2015-9-1 08:25
STM32 实验4 外部中断实验-3.5库函数版本.rar 771249654 2015-9-1 0512 771249654 2015-9-1 08:24
STM32 实验3 串口实验-3.5库函数版本.rar 771249654 2015-9-1 0463 771249654 2015-9-1 08:24
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
返回顶部 返回版块