我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索

版块导航

综合交流论坛
逆天资讯
51单片机 | STM32 | AVR
FPGA | CPLD | DSP
ARM论坛
数 | 模电子
拆机 | DIY | 维修
程序开发
手机平板论坛
Layoutguide指南 | Checklist
维修图纸固件程序
DataSheet | 规格书 | 数据手册
综合论坛
『EDA设计软件交流』
PADS|Powerpcb
Allegro|OrCAD
Mentor|其它EDA
Altium|Protel
EMI | EMC |仿真
genesis | cam论坛
软件汇总
专业评审
资源区
作品文件展(二级)
作品图片展
原理图共享
封装库共享
项目开源
PDF电子书
求资料
网盘资料大杂烩
培训报名QQ:65964512
Allegro240812初级班
PADS240812初级班
allegro240402抖音公开直播初级班
Allegro-230921高级班
1元学习PADS(20230718期)
1元学习Allegro(20230718期)
Allegro-230522高级班
Pads-230522高级班
1元学习PADS(20221219期)
1元学习Allegro(2期)
1元学习Allegro(1期)
PADS20220406高级特惠班
PADS20220105初级班
PADS20210616高级班
2021-Pads国庆特训班
PADS20210412初级班
PADS20210122高级班
Pads20201123初级班
2020-PADS国庆特训班
PADS20200601高级班
PADS20200316初级班
PADS-191021高级班
PADS-190819初级班
PADS-190603高级班
PADS-190220高级班
PADS-181015高级班
Allegro-180504高级班
PADS-180606高级班
PADS-180305高级班
PADS-171101高级班
PADS-181217初级班
1元学习PADS(5期)
1元学习PADS(4期)
Allegro-171023高级班
1元学习PADS(3期)
PADS-170802高级班
PADS-170506高级班
161202初级+综合
百元学习allegro(1期)
1元学习PADS(1期)
1元学习PADS(2期)
PADS-161202高级班
已学完板块汇总
购买视频练习项目版块
培训公告
老吴专栏
规格书征集
» 非技术讨论区(乐币栏)
新手上路
举报奖励
创业论坛
升级经验
招聘/求职
承接| 广告
英语角
RMB提现
PCB换人民币
休闲 | 娱乐 | 站务 | 活动
好电影&贴图区
公告|站务
感情|闲聊
收藏本版 |订阅

项目开源 今日: 4 |主题: 18241|排名: 14 

作者 回复/查看 最后发表
ST官方发布的uclinux开发包 834492956 2015-1-17 11048 libinh9635 2015-2-24 08:33
求HiSTBAndroidV400R001C00SPC053 mongnang 2015-2-21 21960 libinh9635 2015-2-24 08:30
熊猫的单板电脑 12yu3 2015-2-15 7952 ksec_stb 2015-2-23 21:04
06-Android PlayReady 开发指南 gaoxiuzhang 2014-12-24 11238 libinh9635 2015-2-23 00:44
rk3066上可用的sp2518摄像头驱动 boarmy 2014-4-17 93241 ntpcb_lee 2015-2-22 22:35
HI3798_01-Android解决方案 开发指南 gaoxiuzhang 2014-12-6 31552 libinh9635 2015-2-22 21:25
基于BC0405的蓝牙模块的原理图、PCB,是PROTEL格式 严强 2015-2-21 41187 ycy 2015-2-22 12:11
无线电能传输技术,新技术。 leslietse 2014-11-11 51588 xuzhou170 2015-2-22 01:38
电视棒PCB图 - [售价 3 枚金币]  ...2 anli 2014-9-19 162499 hihihahaguy 2015-2-20 23:17
A20原理图  ...2 5986125 2014-4-10 182396 hihihahaguy 2015-2-19 16:16
TA3395LH测试码片 - [售价 1 枚金币] luoqin 2015-2-17 31197 267101126 2015-2-19 07:03
HiSTBAndroidV600R001C00SPC033DeliverableList gaoxiuzhang 2015-2-17 11215 jiangxianjun 2015-2-18 19:51
TA2466LH测试码片 - [售价 1 枚金币] luoqin 2015-2-17 31181 sym21ic 2015-2-18 10:00
用于高性能DSP的16bit求随机数程序,优化版本,含源码 chess2006 2015-2-17 11080 randy1022 2015-2-18 09:32
用于高性能DSP的16bit求到数程序,含源码 chess2006 2015-2-17 1986 randy1022 2015-2-18 09:32
HiDLNA_API_Reference_JAVA gaoxiuzhang 2014-12-22 11126 libinh9635 2015-2-18 00:21
HiDualNet API Reference gaoxiuzhang 2014-12-22 2975 libinh9635 2015-2-18 00:20
HiSettings API gaoxiuzhang 2014-12-23 1906 libinh9635 2015-2-18 00:19
成品测试码片04 - [售价 1 枚金币] luoqin 2015-2-16 21218 wtliu 2015-2-17 10:37
成品测试码片06 - [售价 1 枚金币] luoqin 2015-2-16 41297 wtliu 2015-2-17 10:36
TA3384LP测试码片 - [售价 1 枚金币] luoqin 2015-2-17 11082 randy1022 2015-2-17 10:19
HiTranscoder API Development Reference gaoxiuzhang 2014-12-23 21312 libinh9635 2015-2-16 21:00
libHiMultiScreen gaoxiuzhang 2014-12-23 11214 libinh9635 2015-2-16 20:57
成品测试码片05 - [售价 1 枚金币] luoqin 2015-2-16 11908 slim443 2015-2-16 19:17
用于高性能dsp的cholesky分解算法,含有源码 chess2006 2015-2-15 11293 bidinghong 2015-2-16 18:55
用于高性能dsp的子矩阵移动算法,优化版本,含有源码 chess2006 2015-2-15 11224 bidinghong 2015-2-16 18:54
HiSTBLinuxV100R003C00SPC055.part19-31全部上传完毕 gaoxiuzhang 2014-12-9 41700 libinh9635 2015-2-16 09:28
EEPROM码片02 - [售价 2 枚金币] luoqin 2015-2-15 41135 libinh9635 2015-2-16 00:05
EEPROM码片03 - [售价 2 枚金币] luoqin 2015-2-15 11063 randy1022 2015-2-15 09:53
原理图 sunguiguan 2015-2-14 21146 lmyyjx 2015-2-15 08:40
PADS线路板 sunguiguan 2015-2-14 1989 bidinghong 2015-2-14 19:13
PCB线路板 sunguiguan 2015-2-14 01076 sunguiguan 2015-2-14 17:17
全志a31s寄存器手册 - [售价 3 枚金币] liangzhupic 2015-2-13 21281 aabb888 2015-2-14 14:30
用于高性能dsp的定点向量最大值,高度优化的函数,含有源 chess2006 2015-2-13 1948 slim443 2015-2-14 12:03
用于高性能dsp的定点向量极大值索引函数,优版本,含源码 chess2006 2015-2-13 21007 267101126 2015-2-14 08:55
用于高性能dsp的定点向量最小值,优化的函数,含源码 chess2006 2015-2-13 01135 chess2006 2015-2-13 21:49
谁有JLINK V9的最新固件 hejiwei 2015-2-13 01641 hejiwei 2015-2-13 15:58
谁有JLINK V9的PCB hejiwei 2015-2-13 01036 hejiwei 2015-2-13 15:55
谁有JLINK V9的原理图 hejiwei 2015-2-13 01320 hejiwei 2015-2-13 15:53
51单片机渐进实践源码及仿真10 liquanli 2015-2-12 11693 danqpm 2015-2-13 08:39
用于高性能DSP的复数矩阵乘法,深度优化版本,含有源码 chess2006 2015-2-12 11099 xiaomiaoer 2015-2-13 07:46
用于高性能DSP的矩阵转置算法,深度优化版本,含有源码 chess2006 2015-2-12 11056 slim443 2015-2-13 07:44
0-32V可调LCD电源 - [售价 1 枚金币] liuwei1779 2014-12-30 51918 mkkop 2015-2-13 06:41
51单片机制作的USB无线通信源码 lisongsong678 2015-1-13 71116 mkkop 2015-2-13 06:11
用单片机编歌曲 青花瓷 - [售价 3 枚金币] fanleung 2015-2-12 21249 mkkop 2015-2-13 06:07
用于高性能DSP的实数矩阵乘法源码 chess2006 2015-2-12 0949 chess2006 2015-2-12 21:54
HiSTBLinuxV100R003C00SPC055.part18 gaoxiuzhang 2014-12-9 11101 libinh9635 2015-2-12 19:46
MSP430F149  1602 834492956 2015-2-12 21127 fanleung 2015-2-12 17:08
MSP430框架程序 771249654 2015-2-12 21121 lxy200 2015-2-12 13:22
51单片机渐进实践源码及仿真11 liquanli 2015-2-12 01850 liquanli 2015-2-12 13:09
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
返回顶部 返回版块