我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索

版块导航

综合交流论坛
逆天资讯
51单片机 | STM32 | AVR
FPGA | CPLD | DSP
ARM论坛
数 | 模电子
拆机 | DIY | 维修
程序开发
手机平板论坛
Layoutguide指南 | Checklist
维修图纸固件程序
DataSheet | 规格书 | 数据手册
综合论坛
『EDA设计软件交流』
PADS|Powerpcb
Allegro|OrCAD
Mentor|其它EDA
Altium|Protel
EMI | EMC |仿真
genesis | cam论坛
软件汇总
专业评审
资源区
作品文件展(二级)
作品图片展
原理图共享
封装库共享
项目开源
PDF电子书
求资料
网盘资料大杂烩
培训报名QQ:65964512
24国庆PADS_allegro七天快速入门班
Allegro240812初级班
PADS240812初级班
allegro240402抖音公开直播初级班
Allegro-230921高级班
1元学习PADS(20230718期)
1元学习Allegro(20230718期)
Allegro-230522高级班
Pads-230522高级班
1元学习PADS(20221219期)
1元学习Allegro(2期)
1元学习Allegro(1期)
PADS20220406高级特惠班
PADS20220105初级班
PADS20210616高级班
2021-Pads国庆特训班
PADS20210412初级班
PADS20210122高级班
Pads20201123初级班
2020-PADS国庆特训班
PADS20200601高级班
PADS20200316初级班
PADS-191021高级班
PADS-190819初级班
PADS-190603高级班
PADS-190220高级班
PADS-181015高级班
Allegro-180504高级班
PADS-180606高级班
PADS-180305高级班
PADS-171101高级班
PADS-181217初级班
1元学习PADS(5期)
1元学习PADS(4期)
Allegro-171023高级班
1元学习PADS(3期)
PADS-170802高级班
PADS-170506高级班
161202初级+综合
百元学习allegro(1期)
1元学习PADS(1期)
1元学习PADS(2期)
PADS-161202高级班
已学完板块汇总
购买视频练习项目版块
培训公告
老吴专栏
规格书征集
» 非技术讨论区(乐币栏)
新手上路
举报奖励
创业论坛
升级经验
招聘/求职
承接| 广告
英语角
RMB提现
PCB换人民币
休闲 | 娱乐 | 站务 | 活动
好电影&贴图区
公告|站务
感情|闲聊
收藏本版 |订阅

项目开源 今日: 0|主题: 18243|排名: 17 

作者 回复/查看 最后发表
C51单片机LCD9648液晶程序源码 eliteyyds 2023-1-12 8626 a6682100 2023-1-19 22:29
WIFI模块C51项目源码 eliteyyds 2023-1-11 7700 清心如水 2023-1-19 08:26
基于C51红外遥控开发源码 eliteyyds 2023-1-11 4645 清心如水 2023-1-19 08:26
C51单片机按键控制蜂鸣器源码 eliteyyds 2023-1-12 1384 清心如水 2023-1-19 08:26
dsPIC33EP32GS202无线充电15W原理图和完整源代码包含QI协议内容 - [售价 50 枚金币]  ...23 evanyuan 2020-3-19 202126 lis998 2023-1-18 11:15
C51单片机计时器数码管显示源码 eliteyyds 2023-1-12 1588 清心如水 2023-1-18 08:39
C51单片机计时器LCD1602显示源码 eliteyyds 2023-1-12 2647 清心如水 2023-1-18 08:39
C51单片机LCD1602滚动显示源码 eliteyyds 2023-1-12 2626 清心如水 2023-1-18 08:39
基于PCF8563时钟芯片的万年历制作 - [售价 5 枚金币] leslie_aqiang 2021-3-26 41005 kn1986 2023-1-17 08:42
24V开关电源原理图 penghui2019 2020-5-6 81751 ask1680 2023-1-16 21:36
i2s转光纤同轴原理图分享 余杰 2021-10-23 6935 老吴 2023-1-16 13:34
C51单片机串口控制LED和蜂鸣器源码 eliteyyds 2023-1-12 2435 清心如水 2023-1-16 08:03
C51单片机红外遥控LED和蜂鸣器源码 eliteyyds 2023-1-12 1596 清心如水 2023-1-16 08:03
RK3399开发资料  ...23456..9 jiaotang 2019-5-16 816619 liulinfu2008 2023-1-14 11:12
C51单片机DHT11温湿度检测(LCD1602显示)源码 eliteyyds 2023-1-12 2588 清心如水 2023-1-14 08:21
MOS的驱动布局设计  ...234 winter88 2023-1-9 341199 自律g 2023-1-13 16:03
大功率,大功率  BT标准  IT PSE芯片 - [售价 5 枚金币] wq253088904 2019-12-19 8915 lozgwn 2023-1-13 14:59
C51单片机电子万年历(DS1302+LCD1602+DS18B20)源码 eliteyyds 2023-1-12 1446 神奇的豆 2023-1-13 10:37
TYPEC转HDMI+VGA带PD3.0快充方案|瑞奇达CS5269设计电路  ...23 qq2755130042 2021-6-28 212012 baijingcheng 2023-1-13 08:53
PD+QC+AFC LDR6328S快充诱骗模块typeC-16p封装 - [售价 2 枚金币] freebird126 2021-10-7 71027 baijingcheng 2023-1-13 08:34
Altium Design拼板示例——多种  ...2 danruhai 2020-8-24 191827 unning 2023-1-13 03:20
LEA-6_NEO-6_MAX-6_HardwareIntegrationManual_(GPS.G6-HW-09007) lilei 2017-8-6 3937 wlk1986 2023-1-12 13:54
DVR原理图  ...23 1985220 2017-10-19 262953 zl小飞f 2023-1-12 10:47
基于C51单片机DS1302时钟开发源码 eliteyyds 2023-1-11 3466 cat 2023-1-12 09:32
SM3350 原理图文件 TYPEC+TYPEA  ...234 dongshuo19 2023-1-8 381477 sunjq 2023-1-12 08:46
ST3232E规格书  ...2 xujiwei 2023-1-10 12945 weiziushi 2023-1-11 19:17
C语言项目源码  ...2 付林 2023-1-10 151364 heming2216 2023-1-11 18:19
STC89C516RD+制作多功能闹钟遥控测码加源码  ...23 haodvb 2022-11-19 23784 love欣 2023-1-11 14:15
Industrial wifi6 router/wifi6-Qualcomm-IPQ6010/IPQ6018-Wallys  ...2 cindy-wallys 2023-1-5 13849 mirage 2023-1-11 09:52
CS5518原理图|CS5518设计电路|MIPI转LVDS转换芯片参考电路  ...234 qq2755130042 2023-1-4 342064 zhongdeping2019 2023-1-11 09:04
硬件系统工程师宝典 - [售价 2 枚金币] woshangu 2016-7-21 81118 zhhuxx 2023-1-10 17:34
wallys /2.4G&5G#MT7915,.MT7975 /industrial mini pcie card  ...2 cindy-wallys 2023-1-5 11954 mirage 2023-1-10 08:30
QPF4228规格书 gangshou666 2023-1-9 5640 清心如水 2023-1-10 08:21
CS5260芯片参数|CS5260规格书|TYPEC转VGA芯片方案  ...23456..7 qq1540182856 2022-12-29 602104 单枪舞九州 2023-1-10 08:01
altera官方开发板cycloneIVGX_4cgx150_fpga xushan32 2022-5-21 3763 cgrrty 2023-1-9 15:48
ASW3642设计电路|替代TS3DV642电路图|二进一出HDMI2.0切换器方  ...2 qq1540182856 2022-3-29 16965 bain_123 2023-1-9 10:18
Wallys/DR9074E-Qualcomm Atheros QCN9074(QCN9024)/ 4x4 MU-MIMO Dual Band Wirel  ...23 cindy-wallys 2023-1-5 201296 地球很危险 2023-1-9 10:13
DDR4 PCB设计规范与设计要点 - [售价 1 枚金币]  ...23 yuqilinlian 2017-1-9 262792 qq85654202 2023-1-8 14:08
Wallys/ industrial mini pcie card/ QCA9882/2x 2 5G /High power Radio card  ...23456..10 cindy-wallys 2022-12-23 922844 单枪舞九州 2023-1-7 16:15
CS5518MIPI转LVDS方案|CS5518规格书|MIPI转LVDS转换芯片方案|DSI转L  ...23456 13699759787 2022-12-30 561697 mirage 2023-1-7 09:47
75W24V输出开关电源 - [售价 1 枚金币]  ...2 小南瓜 2019-10-23 171781 13597906051 2023-1-6 08:58
华硕Zenbook点位图,板号UX305CA_2.1_60NB0AA0-MB2140  ...2 iamojz 2021-4-18 151533 yidianyudi 2023-1-5 18:11
wallys-WiFi-5-outdoor-Access-point-IPQ4019/4029-industrial wireless AP  ...2345 cindy-wallys 2022-12-23 481981 成成246 2023-1-5 12:19
RK3328官方Demo下载  ...23456 dcir 2019-8-4 514605 dant 2023-1-5 10:33
脉动式血氧计设计文件  ...2 wit2015 2020-8-3 131077 lf2k2022 2023-1-5 08:46
YuToo墨水屏时钟  ...23456..9 vinolee 2022-12-21 833049 kanxue_nmg 2023-1-5 05:01
CS5518MIPI转LVDS方案|CS5518规格书|MIPI转LVDS转换芯片方案|DSI转L  ...2345 13699759787 2022-12-30 481486 vital 2023-1-4 21:43
Wallys industrial wifi5 router  /IPQ4019 IPQ4029 ,802.11AC 2x2 2.4G&5G  ...23456 cindy-wallys 2022-12-20 522104 thanky0u58 2023-1-4 09:02
开源,STM32F103C8T6 PWM呼吸灯看图说话  ...23 炯辰先生 2022-11-18 202032 channelong 2023-1-4 08:28
Wallys|wifi6 Qualcomm IPQ8072A 4T4R support QCN9074/QCN6024 MOUDLE OPENWRT 80  ...23456 cindy-wallys 2022-12-20 532301 地球很危险 2023-1-3 13:16
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
返回顶部 返回版块