我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索

版块导航

综合交流论坛
逆天资讯
51单片机 | STM32 | AVR
FPGA | CPLD | DSP
ARM论坛
数 | 模电子
拆机 | DIY | 维修
程序开发
手机平板论坛
Layoutguide指南 | Checklist
维修图纸固件程序
DataSheet | 规格书 | 数据手册
综合论坛
『EDA设计软件交流』
PADS|Powerpcb
Allegro|OrCAD
Mentor|其它EDA
Altium|Protel
EMI | EMC |仿真
genesis | cam论坛
软件汇总
专业评审
资源区
作品文件展(二级)
作品图片展
原理图共享
封装库共享
项目开源
PDF电子书
求资料
网盘资料大杂烩
培训报名QQ:65964512
24国庆PADS_allegro七天快速入门班
Allegro240812初级班
PADS240812初级班
allegro240402抖音公开直播初级班
Allegro-230921高级班
1元学习PADS(20230718期)
1元学习Allegro(20230718期)
Allegro-230522高级班
Pads-230522高级班
1元学习PADS(20221219期)
1元学习Allegro(2期)
1元学习Allegro(1期)
PADS20220406高级特惠班
PADS20220105初级班
PADS20210616高级班
2021-Pads国庆特训班
PADS20210412初级班
PADS20210122高级班
Pads20201123初级班
2020-PADS国庆特训班
PADS20200601高级班
PADS20200316初级班
PADS-191021高级班
PADS-190819初级班
PADS-190603高级班
PADS-190220高级班
PADS-181015高级班
Allegro-180504高级班
PADS-180606高级班
PADS-180305高级班
PADS-171101高级班
PADS-181217初级班
1元学习PADS(5期)
1元学习PADS(4期)
Allegro-171023高级班
1元学习PADS(3期)
PADS-170802高级班
PADS-170506高级班
161202初级+综合
百元学习allegro(1期)
1元学习PADS(1期)
1元学习PADS(2期)
PADS-161202高级班
已学完板块汇总
购买视频练习项目版块
培训公告
老吴专栏
规格书征集
» 非技术讨论区(乐币栏)
新手上路
举报奖励
创业论坛
升级经验
招聘/求职
承接| 广告
英语角
RMB提现
PCB换人民币
休闲 | 娱乐 | 站务 | 活动
好电影&贴图区
公告|站务
感情|闲聊
收藏本版 |订阅

项目开源 今日: 1 |主题: 18243|排名: 19 

作者 回复/查看 最后发表
51单片机-音乐播放 - [售价 3 枚金币] leslie_aqiang 2021-2-28 1751 cml_l 2021-2-28 11:50
51单片机-步进电机转动原理 - [售价 3 枚金币] leslie_aqiang 2021-2-28 1642 cml_l 2021-2-28 11:49
多功能波形发生器VHDL程序与仿真 - [售价 2 枚金币]  ...2 leslie_aqiang 2021-2-22 151484 chen 2021-2-28 11:25
IO输出-点亮1个LED灯方法1 - [售价 2 枚金币] leslie_aqiang 2021-2-27 3745 kenxjy 2021-2-28 10:50
51单片机-8位LED左移 - [售价 2 枚金币] leslie_aqiang 2021-2-27 6648 luchonghui74 2021-2-28 08:56
51单片机-8位LED右移 - [售价 2 枚金币] leslie_aqiang 2021-2-27 4780 luchonghui74 2021-2-28 08:56
LCD控制VHDL程序与仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-27 8698 nfsden 2021-2-28 08:53
电子时钟VHDL程序与仿真 - [售价 3 枚金币] leslie_aqiang 2021-2-26 5698 weiziushi 2021-2-27 17:06
步进电机定位控制系统VHDL程序与仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-26 8732 luchonghui74 2021-2-27 15:24
ADC0809 VHDL控制程序 - [售价 2 枚金币] leslie_aqiang 2021-2-26 6752 chen 2021-2-27 13:53
VGA显示IP核(包括驱动) jason9305 2018-1-30 1956 ourh1 2021-2-27 08:32
发一个VGA切换器的KEIL代码 wujinghua5 2018-2-6 11016 ourh1 2021-2-27 08:32
STM32智能壁障小车源程序和原理图  ...2 zk凯 2018-4-18 192816 linyufeng1989 2021-2-26 16:55
PCIE×8 1.2TB固态盘PCB  ...2 gyict 2020-11-6 161612 daicy 2021-2-26 15:48
CSR1010蓝牙芯片资料 towner 2015-4-28 31200 老哈 2021-2-26 13:47
求助写程序 yqnjjls 2021-2-26 1828 cml_l 2021-2-26 11:40
DAC0832 接口电路程序 - [售价 2 枚金币] leslie_aqiang 2021-2-25 7836 luchonghui74 2021-2-26 10:37
URAT VHDL程序与仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-24 2562 whanxing 2021-2-26 09:58
TLC5510 VHDL控制程序 - [售价 2 枚金币] leslie_aqiang 2021-2-25 7845 bidezhi7777 2021-2-26 09:03
TLC7524接口电路程序 - [售价 2 枚金币] leslie_aqiang 2021-2-25 5685 维修022 2021-2-26 08:59
用1602液晶屏做的万年历时钟 轻轻的风 2021-2-25 4537 zqf900605 2021-2-25 17:15
JLINK V9,做自己的ARM仿真器,高大上,JLINK V9准备攻克  ...23456..18 wifiok 2014-7-4 17765260 ksilence 2021-2-25 16:23
JLINK V9 PCB板发放  ...23456..10 wifiok 2014-7-30 9125292 ksilence 2021-2-25 16:18
这个使用51单片机驱动OLED,刷新率比较低 轻轻的风 2021-2-25 0724 轻轻的风 2021-2-25 10:26
基于VHDL硬件描述语言的基带码发生器程序设计与仿真 - [售价 2 枚金币]  ...2 leslie_aqiang 2021-2-22 12678 yjmislucky 2021-2-25 09:23
ASK调制与解调VHDL程序及仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-24 4729 nfsden 2021-2-25 08:59
自动售货机VHDL程序与仿真 - [售价 2 枚金币]  ...2 leslie_aqiang 2021-2-23 10876 lqsgg 2021-2-25 07:30
MPSK调制与解调VHDL程序与仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-23 6748 lqsgg 2021-2-25 07:29
PSK调制与解调VHDL程序及仿真 - [售价 3 枚金币]  ...2 leslie_aqiang 2021-2-23 10820 lqsgg 2021-2-25 07:29
FSK调制与解调VHDL程序及仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-24 1696 wangy2000 2021-2-25 06:52
51系列八入八出继电器工控板 louzhulz 2021-2-23 3881 b838899 2021-2-24 08:50
MFSK调制VHDL程序及仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-22 9893 b838899 2021-2-23 09:20
大学考试助攻 dxkszg 2020-6-26 51595 dxkszg 2021-2-22 13:03
常用的PCB layout封装库,QFN48,SOP、0402\0603\0805,USB插头等 xinso 2020-11-24 81311 last 2021-2-22 10:32
FPGA-电梯控制器程序设计与仿真 - [售价 2 枚金币] leslie_aqiang 2021-2-21 8754 bidezhi7777 2021-2-22 09:29
FGAD驱动LCD显示中文字符“年” - [售价 1 枚金币] leslie_aqiang 2021-2-21 3765 bidinghong 2021-2-22 08:47
电子琴程序设计与仿真 - [售价 3 枚金币] leslie_aqiang 2021-2-21 2740 bidezhi7777 2021-2-21 13:48
出租车计价器VHDL程序 - [售价 2 枚金币] leslie_aqiang 2021-2-20 5703 bidezhi7777 2021-2-21 13:48
FPGA驱动LED动态显示(4位) - [售价 1 枚金币] leslie_aqiang 2021-2-20 5738 zqf900605 2021-2-21 08:54
NE555可调脉冲输出模块 louzhulz 2021-2-20 3971 rongzhen 2021-2-20 16:04
SYM32-ADC采集后图像显示 leslie_aqiang 2021-2-18 7605 richardz 2021-2-20 11:39
利用STM8单片机定时器tiM1的PWM输入捕获模式测量红外遥控码 leslie_aqiang 2021-2-18 4619 lqsgg 2021-2-20 08:06
FPGA- LCD显示叠加图片 - [售价 2 枚金币] leslie_aqiang 2021-2-19 2698 bidezhi7777 2021-2-19 15:10
Allegro学习资料 skysky01 2020-12-10 7658 qslt1983 2021-2-19 12:30
学习STM32-定时器PWM实验——呼吸灯 leslie_aqiang 2021-2-19 0944 leslie_aqiang 2021-2-19 10:36
STM32-GPIO输出实验——点亮三色LED - [售价 3 枚金币] leslie_aqiang 2021-2-19 0807 leslie_aqiang 2021-2-19 10:34
MS8413电子PCB文档 采样率高达192KHz soundbaraudio00 2018-1-25 91685 zqf900605 2021-2-14 18:21
Rockchip PX5 Datasheet V1.1  规格书 PDF liuyijie 2020-12-25 7852 kelven123 2021-2-14 10:13
【STM32F103C8T6】核心板 呼吸灯实验 之入门系列2 kelven123 2021-2-13 1855 小鑫鑫 2021-2-14 08:57
海思HI3518EV300原厂参考资料  ...234 lb524260370 2019-7-1 306049 cgrrty 2021-2-13 10:01
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
返回顶部 返回版块