我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 568|回复: 0

我想问一下关于毛刺的问题

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-3-29 19:04:07 | 显示全部楼层 |阅读模式
希望高手不吝赐教.代码如下8 D* ~5 z2 I|: v# _/ F" g3 b
module CA1(clk,CA_code,order1,order2);5 y* ?( Z9 m4 L* r+ ?! M& Y
input clk;
input[3:0] order1,order2;% e( J' s5 e2 F" }8 m2 m. n
output CA_code;0 ~# A8 e- t8 s' rL" p: u8 O/ x
: e; b3 k* [$ X
wire G1_out;) a* E) B/ V# I4 SL" x2 J
wire G1_add; * i+ o: v& ~9 d1 C7 I9 S% E; r* x" B
reg[10:1] G1='b1111111111;" `7 ^1 }: r1 b6 o0 s4 w. O# e- ]
assign G1_out=G1[10];
assign G1_add=G1[3]+G1[10];
always @(posedge clk)
begin% o% b" R4 l/ V" L8 G$ g) P
G1=G1<<1;+ v( r: x5 W3 d1 O" G
G1[1]=G1_add;
end# S$ |; H8 L3 k# \, A& Y4 J
9 h3 K& X# ]+ ]' Y
wire[3:0] x,y;) E3 g5 T/ U8 i; K1 X# |# B
wire G2_out;
wire G2_add;
reg[10:1] G2='b1111111111;
assign x=order1;; X& S; a" g' _& b0 b# p
assign y=order2;
assign G2_out=G2[x]+G2[y];5 W2 b1 B9 `# c$ a0 i& S
assign G2_add=G2[2]+G2[3]+G2[6]+G2[8]+G2[9]+G2[10];
always @(posedge clk)
begin
G2=G2<<1;
G2[1]=G2_add;
endD. P6 T" o- G+ g1 Z
assign CA_code=G1_out+G2_out;
endmodule t`: W# q4 I1 G7 l
时序仿镇如附件,首先我是个新手也是第一次遇到毛刺问题听说组合逻辑会出现毛刺,但不知道为什么我的代码会有毛刺(我这里指的是毛刺产生的原因)不过我加了个D触发器后把毛刺消了.可是在设计时不应该是出了毛刺找消的办法,因该是怎么样不让它出毛刺,我希望高手们能给我点建议,在这里谢谢大家了先.









CA产生器仿真毛刺.JPG
(104.03 KB, 下载次数: 3)




2008-10-29 08:44 上传
点击文件名下载附件
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表