我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 1100|回复: 0

How to quickly use ModelSim Coverage in Simulation-EDA技术-EDA-电子工程

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-7-30 18:40:20 | 显示全部楼层 |阅读模式
1. Star ModelSim and Make filelistStar your modelsim and change the directory  to youre project folder.Use “pwd “  to show your current directory.Use “cd d:verilog  “  to change directory. Use “dir *.v /b/s >filelist.f “ to make file list.  The file list is as follow, which contains all the .v files under current folder ,including file in subfolders.               Tips:  if  codes are written in Verilog HDL , there is no need to adjust the sequence of the filelist , while in VHDL, some files should be compiled first , so the filelist sequence may need some adaptation.2.  use UltraEdit to replace “” with “/”.  3. create your modelsim .lib and .work.change the directory  to youre project folder ,and copy filelist.f there.Here we “cd projects”Use “vlib work “ to build work lib.Use “vmap work work “ to  map work lib.4. compile the filelist.f with coverage to build work lib.  -cover cbse means compile with  condition , branch , statement ,expression coverage.-f filelist.f   means compile files listed in this file.5. start simulation and check the coverage  start simulation with “vsim – coverage work.top” and “run 10us “ for some time.  And you can see the coverage like this.  More detailed coverage information is as follow,    You can get all the coverage information here . If you have any problem in the use of modelsim coverage ,please contact me and I am glad to help .
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表