我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 2227|回复: 5

[技术文章] orcad 导出网表到allegro的方法

[复制链接]

该用户从未签到

110

主题

72

回帖

0

积分

二级逆天

积分
0

终身成就奖金点子奖

QQ
发表于 2016-11-10 09:53:28 | 显示全部楼层 |阅读模式

注意事项:
.Capture原理图的准备工作
1
PartPin的定义
为了能顺利产生网络表,必须对Part PinNameNumberType都要定义好,并且同一PartNameNumber是不能重复的,只有当Pin TypePowerPin Name才允许相同
注意:如果一个零件的Power Pin有好几种Pin Name,而不同的Pin NamePin要接相同的Net,如:Pin nameVDD但需要接到VCC,而且Pin nameVSS也要接到VCC,此时就必须对Capture里的零件Part做一些设定

2
PartPCB Footprint的定义
Edit-Properties中设定PCB Footprint
当然先的做好封装库,你可以把它们放在./symbols下,最好建立自己的库目录。

3
。不同PartDevice设定必须不同
DEVICE栏设定值,不同Part的值不能相同,或者干脆不命名生成网表时CAPTURE会自动命名,使用他的封装,参数,还有其他的属性给他联合命名即类似
:“CAP NP_0805_0.1U”这就是自动命名的结果

4
NC Pin 定义
有的时候工程师在建Capture零件的时候会把没有连接NetPin省略,而这些PinLayout实际零件上是有的,针对这种情况需要对Capture里的零件Part做一下设定:
Capture中双击Part进入Edit Properties新增一项NC Property
Property
Name需大写 NC
Value输入零件的NC Pin

5
。有些字符在导入网络表时是不允许的,
例如: ‘


导入过程
1.
Capture里执行Create netlist 选择Allegro,勾选Create AllegroNetlist,选择输出的路径
注意:这里产生的Netlist 有好几个文件,所以只要选择路径就可以了

2
。在Allegro中执行Import Logic选择Cadence,点选Capture选择Netlist路径就了
画好板子的机械外形,定义好route keepin package keepout以后,直接点击file->import logic->,记住要选concept hdl,切记!别选capture,否则无法导入网表。
做完库后,最好将*.psm*.fsm*.bsm*.dra文件分类存放,这样便于理出头绪来,以后可以重复利用的。在user pereference里的design path里可以指定这些path




Allegro应用简介

  .零件建立
Allegro ,Symbol 有五种, 它们分别是Package Symbol Mechanical SymbolFormatSymbolShape SymbolFlashSymbol。每种Symbol 均有一个Symbol Drawing File(符号绘图文件), 后缀名均为*.dra。此绘图文件只供编辑用, 不能给Allegro 数据库调用。Allegro 能调用的Symbol 如下:
1Package Symbol
一般元件的封装符号, 后缀名为*.psmPCB 中所有元件像电阻、电容、电感、IC 等的封装类型即为Package Symbol
2MechanicalSymbol
由板外框及螺丝孔所组成的机构符号, 后缀名为*.bsm。有时我们设计PCB 的外框及螺丝孔位置都是一样的, 比如显卡, 电脑主板, 每次设计PCB时要画一次板外框及确定螺丝孔位置, 显得较麻烦。这时我们可以将PCB的外框及螺丝孔建成一个Mechanical Symbol, 在设计PCB , 将此Mechanical Symbol 调出即可。
3Format Symbol
由图框和说明所组成的元件符号, 后缀名为*.osm。比较少用。
4Shape Symbol
供建立特殊形状的焊盘用, 后缀为*.ssm。像显卡上金手指封装的焊盘即为一个不规则形状的焊盘, 在建立此焊盘时要先将不规则形状焊盘的形状建成一个Shape Symbol, 然后在建立焊盘中调用此Shape Symbol
5Flash Symbol
焊盘连接铜皮导通符号, 后缀名为*.fsm。在PCB 设计中, 焊盘与其周围的铜皮相连, 可以全包含, 也可以采用梅花辨的形式连接, 我们可以将此梅花辨建成一个Flash Symbol, 在建立焊盘时调用此Flash Symbol
其中应用最多的就是Package symbol即是有电气特性的零件,PADPackage symbol构成的基础.
建立PAD

   启动PadstackDesigner来制作一个PAD,PAD按类型分分为:
1.    Through,贯穿的;
2.    Blind/Buried,盲孔/埋孔;
3.    Single,单面的.
   按电镀分:
   1.Plated,电镀的;
   2.Non-Plated,非电镀的.
   a.Parameters选项卡中, Size值为钻孔大小;Drill symbolFigure为钻孔标记形状,Charater为钻孔标记符号,Width为钻孔标记得宽度大小,Height为钻孔标记得高度大小;
   b.Layers选项卡中,BeginLayer为起始层,Default Internal为默认内层,EndLayer为结束层,SolderMask_Top为顶层阻焊,,SolderMask_Bottom为底层阻焊PasteMask_Top为顶层助焊, PasteMask_Bottom为底层助焊;RegularPad为正常焊盘大小值,Thermal Relief为热焊盘大小值,AntiPad为隔离大小值.

建立Symbol

1.启动Allegro,新建一个Package Symbol,DrawingType中选Package Symbol,DrawingName中输入文件名,OK.
   2.计算好坐标,执行LayoutàPIN,Option面板中的Padstack中找到或输入你的PAD,Qty代表将要放置的数量,Spacing代表各个Pin之间的间距,Order则是方向Right为从左到右,Left为从右到左,Down为从上到下,Up为从下到上;RotationPin要旋转的角度,Pin#为当前的Pin脚编号,Text block为文字号数;
   3.放好Pin以后再画零件的外框AddàLine,Option面板中的ActiveClass and Subclass分别为Package GeometrySilkscreen_Top,Line lock为画出的线的类型ine直线;Arc弧线;后面的是画出的角度;Line width为线宽.
   4.再画出零件实体大小AddàShapeàSolidFill, Option面板中的Active Class andSubclass分别为Package GeometryPlace_Bound_Top,按照零件大小画出一个封闭的框,再填充之ShapeàFill.
   5.生成零件CreateSymbol,保存之!!!

编写Device

   若你从orCad中直接生成PCB的话就无需编写这个文件,这个文件主要是用来描述零件的一些属性,比如PIN的个数,封装类型,定义功能等等!以下是一个实例,可以参考进行编写:
74F00.txt
(DEVICE FILE: F00 - used for device: 'F00')
PACKAGE SOP14 ü 对应封装名,应与symbol相一致
CLASS IC ü 指定封装形式
PINCOUNT 14 ü PIN的个数
PINORDER F00 A B Y ü 定義PinName
PINUSE F00 IN INOUT ü 定義Pin 之形式
PINSWAP F00 A B ü 定義可Swap Pin
FUNCTION G1 F00 1 23 ü 定義可Swap 之功能(Gate)Pin
FUNCTION G2 F00 4 56 ü 定義可Swap 之功能(Gate)Pin
FUNCTION G3 F00 910 8 ü 定義可Swap 之功能(Gate)Pin
FUNCTION G4 F00 1213 11 ü 定義可Swap 之功能(Gate)Pin
POWER VCC; 14 ü 定義電源Pin 及名稱
GROUND GND; 7 ü 定義GroundPin 及名稱
END

.生成网表

orCad生成网表为例:

在项目管理器下选取所要建立网络表的电路图系
Tools>>CreateNetlist…
或按这个图标:
有两种方式生成网表:
value(For Allegro).
Device (For Allegro)
value值建立网络表
1.编辑元件的封装形式
Allegro元件库中value形式为“!0_1uf__bot_!”,在ORCAD元件属性中已有相应value“0.1uf (bot)” 可以使用以下方法编辑元件 value值:
1
)编辑单个元件
2
)编辑单页电路图中所有元件
3
)编辑所有元件
2
、修改Create Netlist中的参数
Other栏中的Formatters中选择telesis.dll.PCB Footprint中的{PCB Footprint}改为{value}。保存路径中的文件后缀名使用.txt,如下图所示

此主题相关图片如下:

Device值建立网络表
1.编辑元件的封装形式
Allegro元件库中Device Name形式为“! smd_cap_0603!”,在RCAD元件属性的Device项中并没有相应项。因此须新建该项。建立的过程可以使用下面的方法:
1)
直接双击元件编辑元件的属性

此主题相关图片如下:
通过查找元件后编辑元件属性,这样可以将Devicename相同或相近的元件,通过复制、粘贴的方法快速编辑。这种方法特别适合对电阻和电容进行编辑。
A、在此状态下,Crtl+F查找所要编辑的元件

此主题相关图片如下:
、编辑元件的Devicename

此主题相关图片如下:
、编辑元件的Devicename

此主题相关图片如下:
、修改CreateNetlist中的参数
Other栏中的Formatters中选择allegro.dll.PCB Footprint中的{PCBFootprint}改为!{Device}。保存路径中的文件后缀名使用.net

此主题相关图片如下:
、操作过程中应注意的问题
1Allegro devicelibrary 中每一个元件都会有它自己的deviceName。因此,两个元件尽管它们有相同的pinpackage,它们在Allegro device library中还会有不同的名字。例如:封装为SOP1474LS0874LS00它们的device name分别为“smd_7408_soic14”
“smd_7400_soic14”。因此在选用元件时,要根据allegrodevice library中提供的devicename与电路中的元件比较,如果没有对应的元件,请先告知Layout建库。
2)元件的device name中不要有空格,这样allegro认不出这样的元件,在导如Netlist时会报错。

3
2
C
B
2)

. 导入网表
  . 网表转化
      在调入前,应该将要增加的定位孔和定位光标以及安装孔加到网表中,定位孔用M*表示,定位光标用I*表示
. 进入Allegro,File/Import/Logic调入网表,若显示"0 errs,0warnings"则表示没有错误,可以进行下一步,否则,应用File/Viewlog 查看原因,根据提示要求电路设计者修改原理图或自己在元器件库中加新器件.
. 设置
设置绘图尺寸,画板框,标注尺寸,添加定位孔,给板框导角
  1. 设置绘图尺寸:SetupàDrawingSize
2. 画板框:Class:BOARD GEOMETRY  Subclass:  OUTLINE
      AddàLine   "X 横坐标 纵坐标" 的形式来定位画线
  3.RouteKeepin:SetupàAreasàRoute Keepin
       "X 横坐标 纵坐标" 的形式来定位画线
  4.导角:导圆角   Edità Fillet  目前工艺要求是圆角或在右上角空白部分点击鼠标右键àDesign PrepàDraft Fillet小图标
    导斜角EditàChamfer在右上角空白部分惦记点击鼠标右键àDesign PrepàDraft Fillet 小图标
       最好在画板框时就将角倒好,用绝对坐标控制画板框,ROUTE                                  KEEPIN,ANTIETCH,ANTIETCH可以只画一层,然后用EDIT/COPY,而后         EDIT/CHANGE编辑至所需层即可.
  5. 标注尺寸:在右上角空白部分惦记点击鼠标右键àDrafting
      Class: BOARD GEOMETRY Subclass:  Dimension
      圆导角要标注导角半径.在右上角点击右键àDrafting,会出现有关标注的各种小图标
        ManufactureàDimension/DraftàParameters...à进入Dimension Text设置
      在标注尺寸时,为了选取两个点,应该将Find中有关项关闭,否则测量的           会是选取的线段
             :不能形成封闭尺寸标注
6.加光标定位孔laceàBySymbolàPackage,如果两面都有贴装器件,则应在正反两面都加光标定位孔,在在库中名字为ID-BOARD.如果是反面则要镜像.
       EditàMirror
       定位光标中心距板边要大于 8mm.
7. 添加安装孔laceàBySymbolàPackage,工艺要求安装孔为3mm.在库中名字为HOLE125
  8.设置安装孔属性:ToolsàPADSTACKàModify
        若安装孔为椭圆形状,因为在印制板设计时只有焊盘可以设成椭圆,而钻孔只可能设成圆形,需要另外加标注将其扩成椭圆,应在尺寸标注时标出其长与宽.     应设成外径和Drill同大,Drill 不金属化
  9. 固定安装孔:EditàPropertyà选择目标à选择属性FixedàApplyàOK
      
设置层数
      SetupàCross-Section...
  设置显示颜色
    DisplayàColour/Visibility
    可以把当前的显示存成文件:ViewàImageSave,以后可以通过ViewàImage Restore调入,生成的文件以view为后缀,且此文件应该和PCB文件存在同一目录下。
设置绘图参数
    SetupàDrawing Options
    Display中的ThermalPadsFilled Pads and Cline Endcaps应该打开
设置布线规则
    SetupàConstraints...   Set StandardValues...设置Line Width ,Default Via

   Spacing Rules SetàSet Values...设置Pin to Pin ,Line to Pin,Line to Line等值
. 调入元件
    1   给元件赋属性:EditàPropertiesà进入Find设置àFind By Name选择Comp(or Pin)àMoreà选择AllàApplyà选择Placement-tag自动放置属性àApplyàOK
    2   画元件放置区:SetupàAreasàPackageKeepinà画一方框作为元件放入区à右键,DoneàPlaceàAutoplaceàTopGridsà50,OKà50,OKà点击所画方框
    3   自动放置器件:PlaceàAutoplaceàDesign
    4   移动元件的设置:在移动状态下,可以设置Options类中的Point
           Sym Origin,:以器件原点
           Body Center:以器件中心
           User Pick:以选取点
           Sym Pin#:以元件某一管脚。

. 元件布局
    布局时,应根据原理图,将同一模块的器件放到一起,而后再根据连接长度最短的原则将同一模块内的器件摆至最短且最美观为止.再根据鼠线和整块板子的信号流动方向进行布局.
Allegro中布局之时,BGA须以25倍(针对Pin间距为50mil而言)的栅格布局。

       注意:     1.BGA周围5mm内无其他器件
                2.压接件周围5mm内无其他器件
                3.有极性插装件X,Y方向尽量一致
                4.板边5mm为禁布区
. 电源地层分割
    1.ROUTEKEEPIN:
        SetupàAreaàRouteKeepinà在右边Options,设置成Route Keepin,Allà画框
        应注意此步不能缺少,否则后面无法赋电源地网络.
   2.画分割线
        将同一层中要分割的不同网络用不同颜色高亮
        Add àLineà在右边Options,设置成Antietch,以及要分割的层à画线将不同网络分割开
  3. 给电源地层的网络赋属性
     例如:VCC,VDD,GND分配到电源地层.
     EditàPropertiesà从右侧Find中选Net,MoreàVCC,VDD,GND选中àApplyà赋予No Rats,Route to Shape属性à结束Edit Property编辑状态.
4.  将网络分配到相应区域:
     EditàSplit PlaneàSet Parameter(一切都OK)
     EditàSplit PlaneàCreat
. 打电源地
   进入SPECCTRA
   1.选择打电源地过孔类型,SelectàViasFor RoutingàBy list...à选择所需类型,Apply
   2.Autorouteà Setup... àSet Wire Grid...àX GridY Grid 都设为0.1àApplyàOK
       AutorouteàSetup... àSetWire Grid...àX GridY Grid 都设为0.1
   3.AutorouteàPre Route...àFanout...à只选Power netsà插入àOK
十一. 走线
    1.    改变当前缺省走线过孔,SetupàConstraintsàPhysical Rule SetàCurrent Via List中的排在第一位的过孔类型就是当前缺省的过孔类型,将其删除,则原来排在第二未的过孔类型就变成了缺省.只需再加上删除的过孔类型,则其将排在最后.
    2.    Allegro,RouteàConnect则会在右侧出现走线的各种条件设置,包括线宽和过孔 类型.在最下面有两个选项,Snap to ConnectPoint,Replace Etch,前者一般不选,否则有可能走不出想要走出的形状,后者应该选中.
   3.     有时走完线后发现报告冲突,Line to  SMD违反contraints,而此line SMD属于同一个网络,此时应该将 SetupàContraints...àSpacing Rule SetàSet Value...àSame Net Drc设置成off
             :1.板边3mm不准走线
  4    Allegro中拷贝同时拷贝多条相同走线的方法
                要想同时拷贝多条线,必须要保证元器件之间距离严格匹配,不能存在一点偏  ,因为在Allegro中可以存在孤岛式的走线,所以如果不匹配,仍可以把线拷贝上,但会认为是并未连接上,只把其作为单独一条线.
       information获得两组相同布局中相同位置管脚的坐标,:已布线部分中管脚     1坐标为(x1,y1),未布线部分中相同管脚坐标为(x2,y2)
       选择Copy状态à点击鼠标右键àTemp Groupà用鼠标选中所有将要拷贝的线à点击鼠标右键àCompeleteà键入xx1,y1设置拷贝原点à键入x x2,y2将线拷贝至所需位置à点击鼠标右键àDone
十二. 调整冲突
十四. 检查修改
    同时,有一部分错误是可以忽略的,要仔细加以区分,最好只显示布线层的错误 () ToolsàReports...à选取Summary Drawing ReportàRunà查看Connection Statistics中内容,最终目标:Already ConnectedConnections相等,Missing Connections等于0,Dangling Connections等于0,Connections 等于100%.
   1.   AlreadyConnected小于Connections,说明存在半截线,此时应将所有赋了No Rats属性的网络都取消该属性(EditàProperties...)àDisplayàColout/VisibilityàGlobal             Visibility中选取All Invisibleà设置Group/Display中的Ratnest颜色为显眼的颜色à
      观察图中飞线的位置,发现后通过右侧的Visibility打开相应层进行修改.
  2.    Dangling不等于0,说明有的走线多出一截,形成了小天线,则应看Log File 文件,FileàFile Viewer...àdangling_lines.logà记下坐标àX 横坐标纵坐标定位进行修改.
十五. 调整丝印
   设置丝印标准:
   SetupàText Sizes...可以设置四种标准
Blk  Width       Height     Line Space    Photo Width Char Space
         1      48     60          20          0           0                                
2       64     80           30         0          0                                
3       120  150        40         0           0                                
4       160  200        60          0          20  
Block1 的字体,如果空间足够大,则选Block2的字体,左至右自下至上的原则.
丝印一定不能上焊盘.
十六. 写标注文字,做光绘
1.光绘文件命名方式详见PCB设计文件命名表
                    ALLEGRO         镜象              图纸标注
元件面光绘   art1.art            no      (boardname   artwork top
焊接面光绘   art(n).art          yes     (boardname   artwork bottom
内层布线光绘 art(m).art           no      (boardname   artwork layer(m)
地层光绘      ground(m).art    no      (boardname   ground plane(m)
电源层光绘   power(m).art      no         (boardname   power plane(m)
元件面丝印   silkt.art          no      (boardname   silkscreen top
焊接面丝印  silkb.art         yes         (boardname   silkscreen bottom
元件面阻焊   soldt.art        no        (boardname   soldmask top
焊接面阻焊   soldb.art         yes      (boardname   soldmask bottom
元件面钢网   pastt.art        no        (boardname   pastemask top
焊接面钢网   pastb.art          yes          (boardname:)   pastemask bottom
元件面装配   adt.art            no          (boardname:)   silkscreen top
焊接面装配  adb.art              yes      (boardname:)   silkscreen bottom
钻孔图光绘  drill.art                no       (boardname:)   drill chart

数控钻孔文件     ncdrill.tap

   注:以上文件名中(n)表示板的总层数,(m)表示内部某层,如6层板的第二层为layer2,而不是Layer1,(n)(m)均为两位数.(boardname:)用实际板名替换
2. 可以用FileàImportàSubDrawing...调用以前设计中的标注来进行修改,后缀是clp.
3.光绘文件生成步骤:
  ManufactureàNCàDrill Paremeters...à只有Reapeat codes要选中.
  ManufactureàNCàDrill Legend
  ManufactureàNCàDrill Tape...
   ManufactureàArtworkàParameterà Suppress项中Leading Zeroes,Equal Coordinates要选中.
   ManufactureàArtworkàFilm...
   film中应包括的内容:
      Art(m).art                   VIA CLASS   Art(n)
                                          PIN             Art(n)        
                                                             ETCH          Art(n)                                                                                                    BOARD GEOMETRY      OUTLINE
         
       Ground  orpower(m).art                            ANTIETCH  Pgp(m)
                                                   VIACLASS       Pgp(m)
                                                        PIN        Pgp(m)
                                                                           ETCH           Pgp(m)
                                                                           BOARDGEOMETRY      OUTLINE
      
       SILKT         REF DES      SILKSCREEN_TOP
                           PACKAGE GEOMETRYSILKSCREEN_TOP
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SILKSCREEN_TOP
         
      SILKB          REFDES      SILKSCREEN_BOTTOM
                           PACKAGE GEOMETRYSILKSCREEN_BOTTOM
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SILKSCREEN_BOTTOM
      
      SOLDT         VIA CLASSSOLDERMASK_TOP
                           PIN        SOLDERMASK_TOP
                           PACKAGE GEOMETRYSOLDERMASK_TOP
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SOLDERMASK_TOP
      
       SOLDB       VIA CLASSSOLDERMASK_BOTTOM
                           PIN       SOLDERMASK_BOTTOM                                                                    PACKAGE GEOMETRY       SOLDERMASK_BOTTOM
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SOLDERMASK_BOTTOM
      
      DRILL                MANUFACTURINGNCDRILL_LEGEND
                           MANUFACTURINGNCDRILL_FIGURE
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      DIMENSION
      
      ADT                   REF DES      SILKSCREEN_TOP
                           PIN        TOP
                           PACKAGE GEOMETRYSILKSCREEN_TOP
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SILKSCREEN_TOP
      
      ADB                   REF DES      SILKSCREEN_BOTTOM
                           PIN        BOTTOM
                           PACKAGE GEOMETRYSILKSCREEN_BOTTOM
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      SILKSCREEN_BOTTOM1
      
      PASTT        PIN        PASTEMASK_TOP
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      PASTEMASK_TOP
      
       PASTB    PIN             PASTEMASK_BOTTOM
                           BOARD GEOMETRY      OUTLINE
                           BOARD GEOMETRY      PASTEMASK_BOTTOM




      
在命令行执行Artwork -s  *.brd命令,效果同ManufactureàArtworkàGenerate...,
十七. 光绘问题
    生成光绘时常见问题的解决方法
1     在光绘后,某一双列插针的地层全是花盘,实际只应一个管脚接地
       原因:该器件焊盘设置错误,将焊盘设置中的ANTI-PAD选项中FLASH填了内      ,实际上只有THERMAL-RELIEF中的FLASH需要填写,其余的FLASH                                                                                                                       选项都应为空.所以在最后检查时应该将所有带通孔的焊盘都检查一遍.
2     光绘时,生成的光绘文件只有5个字节,所有的文件都无法生成.
       原因:因为存在未使用的焊盘,在生成APERTURES,存在不可识别的FLASH               NAME,AB00,而非数值,
解决方法:将未用的PADSTACK清除(PURGE),TOOLS/ PADSTACK/ MODIFY/            PURGE/ALL.
3  在某一信号层添加铜皮的方法:
            换到要敷铜的层.
                       Add/Shapes/Solid Fill 是敷实心铜皮,Cross Hatch Fill则是画栅格式焊盘/画出敷铜的边框,则菜单将会变成SHAPE编辑菜单
           Edit/Change Net(name)/选择要赋予的网络,OK/Void/Auto表示自动产生热焊盘,并且避开过孔,Shape表示在敷铜区域中画一区域,在此区域中不敷铜,circle表示画一圆形区域,在此区域中不敷铜,element表示将选中的element,只对过孔类元素有效对于shape,circle都不会自动产生热焊盘和避开过孔/Shape/Fill即可.
编辑敷铜
4  在用ALLEGRO自作PCB时出现过过孔上焊盘而不报错的现象,这与我们各位的参数设置有关,为避免此类错误的发生,建议更改设置:
           Setup/constraints/physical rule set/set values/pad_pad direct connect:"allallowed-->not allowed"
    Setup/constraints/physical rule set/DRCmode  /pad_pad direct               connect:"never-->always"




附录A
菜单栏


文件
[url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_2._%E7%BC%96%E8%BE%91%E8%8F%9C%E5%8D%95]编辑、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_3%EF%BC%8E%E6%9F%A5%E7%9C%8B%E8%8F%9C%E5%8D%95]察看、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_4%EF%BC%8E%E6%B7%BB%E5%8A%A0%E8%8F%9C%E5%8D%95]添加、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_5%EF%BC%8E%E6%98%BE%E7%A4%BA%E8%8F%9C%E5%8D%95]显示、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_6%EF%BC%8E%E8%AE%BE%E7%BD%AE%E8%8F%9C%E5%8D%95]设置、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_7%EF%BC%8E%E9%80%BB%E8%BE%91%E8%8F%9C%E5%8D%95]逻辑、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_8%EF%BC%8E%E5%B8%83%E5%B1%80%E8%8F%9C%E5%8D%95]布局、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_9%EF%BC%8E%E5%B8%83%E7%BA%BF%E8%8F%9C%E5%8D%95]布线、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_10%EF%BC%8E%E5%88%86%E6%9E%90%E8%8F%9C%E5%8D%95]分析、[/url][url=mkMSITStore:E:/%E6%96%87%E7%AB%A0%E5%9B%BE%E7%89%87/PCB%E6%8A%80%E6%9C%AF%E6%96%87%E7%AB%A0%E7%B2%BE%E9%80%89.chm::/EDA%E8%BD%AF%E4%BB%B6%E4%BD%BF%E7%94%A8%E7%BB%8F%E9%AA%8C%E4%B8%8E%E5%BF%83%E5%BE%97/Cadence%20Allegro%E8%BD%AF%E4%BB%B6/Allegro%E5%BA%94%E7%94%A8%E7%AE%80%E4%BB%8B.htm#_11%EF%BC%8E%E5%88%B6%E9%80%A0%E8%8F%9C%E5%8D%95]制造、[/url]工具、帮助
1. 文件菜单


新建


打开


保存


另存为


导入


导出


查看日志


打开日志


打印设置


打印


改变编辑器


生成说明文件


退出


2. 编辑菜单


移动


复制


镜像


旋转


修改


删除


生成图形




删除未连结的图形


分割平面


倒角

修改器件边角

删除倒角


文本


分组


特性设定



3.查看菜单


放大矩形范围


放大至满屏


放大


缩小


放大整个范围


以一点为中心放大



保存镜像文件


镜像文件恢复


刷新


习惯设置


4.添加菜单


线


弧形


3点弧形




四边形


填充的四边形


文本


图形




实心填充

不填充

交叉线网填充

5.显示菜单


颜色设置


显示颜色面板


元件信息


测量


寄生参数


特性设置


激活


去激活


显示飞线


不显示飞线




6.设置菜单


画图尺寸


画图选择


文字大小


网格设置


子目录


层结构


过孔设置


限制设置


电气规则设定


特性定义


线网定义


 


区域内可放置封装

区域内不可放置封装

封装高度

区域内可布线

区域内不可布线

区域内不可设置过孔

区域内不可设置探针

区域内不可优化布线

影像输出外框

7.逻辑菜单


线网逻辑


线网方案


设置差分对


标识直流线网


设置RefDes


自动命名RefDes


改变器件


终端设定




重命名

重命名整个设计

重命名一个区域内元件

重命名窗口内元件

重命名列表中的元件


8.布局菜单


手工布局


快速放置


CCT中布局


自动布局


交互式布局


交换


自动交换


调整


更新符号


临时使用SPECCTRAQuest




交换针脚

交换功能

交换元件



可视布局

参数设定布局

布顶层元件

布底层元件

布设计中的元件

布指定区域的元件

布窗口中的元件

布列表中的元件


参数设定

交换设计内容

交换指定区域

交换窗口内容

交换列表内容


参数设定

调整整个设计

调整指定区域

调整窗口中内容

调整列表内容


器件

符号







9.布线菜单


连线


倒角


光滑边角


CCT中布线


优化


测试准备




运行布线检查

选择式布线

自动布线

交互编辑


参数设定

优化设计

优化指定区域

优化窗口

优化激活内容

优化列表内容



自动设置

生成测试点

删除测试点

交换测试点

测试记录












10.分析菜单



初始化

选择库

选择模型

去除模型

参数设定

审查

检测

串扰设置


初始化

自动设置

手工设置

规则选择

规则审查

规则执行

运行结果

审查报告

执行报告

11.制造菜单


图样设置


影像文件设定


文件输出


钻孔参数设定


设置标识


制造检查


设置测试内容


丝印层设置


生成报告




钻孔参数

钻孔图例

钻孔记录

文件输出


生成组装图

生成材料清单



参数设置

设置字体类型

测直线距离


测角度








生成详细说明


13.工具菜单


创建模块


焊盘编辑

可进行有关焊盘的操作

焊盘


去除连结


报告


技术文件比较


设置向导


数据库检查


更新DRC

DRC为设计规则检查



修改设计焊盘

修改焊盘库

替换焊盘

组编辑

刷新


修改边界

恢复

恢复所有

14.帮助菜单


帮助内容


设计流程


产品说明


常见问题及其解答


网络链接


Allegro文档

可链接到Cadence网站

关于Allegro专家

显示版本信息等

PCB软件OrCad Capture大揭秘
独一无二的capture cis
  capture cis使设计人员可以通过internet下载120万个元器件的技术资料。及时了解和比较所需元器件的供货厂家、器件封装、
    器件参数、供货周期、当前报价等市场信息,数据资源的数据库化使得企业离mrp只有一步之遥,orcadcapture cis可以按用户的要求提供基于odbc规范的全面mrp咨询与服务。
   orcad capture enterprise edition能自动搜索与此相关的系列元器件,可以选择其中一个放到原理图上,选择和搜索的功能被集成在orcad capture画图界面上,非常直观。因此,只要输入元器件号,封装形式等其它数据,就能很好地创建好原理图。
1.集成元件信息系统
   能使用网上的元件库,并能自动进行搜索。促进数据的一致性和首选元器件的使用。
   给出当前元件的有关信息,进而减低成本。选择元件时,元件库和原理图之间可以自动地转换。
   从库中放元件到原理图时,可以修改元件的一些特性。允许观看原理图上所有元件的状态。
   保持原理图元件和元件库间的联系,可以通过在原理图上选择元件来观看整个元件库。
   可以创建临时元件,能延续设计并能提醒用户在产品周期内尽早地购买。
  2.器件搜索
   从装入的元件库中查找和选择元件。
   通过特性的组合来查询元件库。可以通过电子表格浏览元件的一些匹配信息。
  3.器件编辑
   在元件编辑器里,可以对库里的元件进行编辑。
   在原理图上点取一个元件并对它进行编辑,更新它,也可以更新与此相关的一些元件。
   创建和编辑元件实质上是没有限制的,元件管脚,管脚名和元件尺寸可以随意设置。仅用管脚编辑器便能迅速地创建有大量管脚的元件。
   使用"管脚阵列" 工具能迅速地、交互式地创建管脚阵列。
   允许层叠式的电路设计,可以通过设置"pushingto thepart"项来观看和编辑。
   通过使用总线向量管脚使杂乱的原理图简单化。
4.支持数据库
   若无mrp系统或者想迅速生成一个工程元件库,你可以通过预告存在的设计图生成元件库。
   它具有60,000个元件库,可以作为建立一个元件库和增加一个新元件的基础1.5 原理图数据库
   把设计图存于单个文件里,包括所有芯片和元件,使它容易管理和分配。在库里,元件能被更新,也能被独立出来,或者用其它元件替代。
   库元件的使用名称、元件例子、管脚数和网络没有限制。
   层叠式结构允许用户有多页电路、文件类型、或元件。
   支持使用自己定义的标题栏、边框和功能块。可以使用自定义栅格,支持公制和英制单位。
  5.用户接口
   多窗口式,用户可以同时观察几个设计或几页图纸。
   支持剪切/拷贝/粘贴操作,提高了窗口间的数据重利用效率。
 通过按鼠标右键,可以出现有共用命令的菜单。
  6.设计管理器
   设计管理器能快速而容易地查找元件、信号和文件属性。可以通过项目管理浏览和查询层次式的文件,在电子表格编辑器里也可以达到此功能。在多层窗口间的除、拷贝或者移动页面和不同设计图间移动元件,只须拉上或拉下。可编程性和灵活性、可以自定义功能块。它是由基本功能按一定的操作顺序组合在一块进行宏定义后,变成了专用功能块,可以增加到菜单中。
  7.原理图编辑
   具有恢复/重做功能。自动创建层次块间的接口。
   可以在设置好的空位处重复放置一系列元件,这些元件序号可以自动增加。
  8.可编程逻辑设计和验证方案
   orcad capture enterprise editionorcad simulate tm能融洽的配合,使你的可编程逻辑的设计和调试变得快而容易。
   交叉探针功能使你可在orcad capture选择一个网络,在仿真的波形窗口里设置 一个时间标志,并可以观察当前所选网络的信号状态。
  9.设计的迅速性,不必担心连接错误
 可以在spreadsheet编辑器里同时编辑多个元件特性。 具有旋转和翻转功能。
  强大的放缩功能,你可以选一个放缩比例,也可以定义一个放缩区,可以最大限度地放大。
  10.connectivty resolution
   可以不断线地拖动选择功能可以选择一段连线,或者整个相连接的线或整个网络进而对它进行编辑。
   可以通过网络标号连接信号,与两信号间用电气线相连效果一样。自动生成网络名。使用定义的电气规则可以检查不同页面间的管脚是否真的连接上。
  11.创建文件清单
   可以生成材料清单,包括元件的数据特性及其所在图纸。
  只需点一下按扭,你便可以创建和存贮在工程上、在购买方面及产品方面的习惯汇报格式。
   进行电气规则检查(drc)、进行drc后,能自动生成一个报表文件。
  所有的文本类型都支持true type字体,可以自定义字体、类型、大小和颜色。
  剪切/拷贝/粘贴高亮的选择部分到word文档,或者其它wmfwindows应用程序中。
  可以很快地创建标题块和画加框增加矩形、圆弧、椭圆和logos及图片文件(包括用附件的图板成。
  12.强大的接口功能
 对autocad程序可以输出dxf文件。
   零件特性可以以ascii格式输入/输出。orcadcapture enterprise edition与所有的orcadlayout产品高度集成在一块,能很快地设计电路板。
   constraintriue design capture里,你可以通过指明元件和网络属性控制自动放置和布线特征。比如铜线宽度和铜线间的间距元件放置情况等。
   反向注释(pcb到原理图)
   网络列表输出产生40多种增行或层次式的格式,支持所有公用程序和pcb layout工具,包括epif vhdlverilog spicepadspcad/protel/tango等。
   自动打开或转换sdt386 sdt release/vpspiceedifpdif的设计,创建相应文件(包括所有页和元件)
   通过另存命令可以以sdt386 或者sdt release/v格式存设计图。
   可以mentor graphicscadenceviewlogic synariopads和其它原理图格式输入.
回复

使用道具 举报

该用户从未签到

0

主题

28

回帖

1

积分

一级逆天

积分
1

社区居民终身成就奖

QQ
发表于 2016-11-10 10:08:32 | 显示全部楼层
回复

使用道具 举报

该用户从未签到

886

主题

902

回帖

537

积分

二级逆天

积分
537

社区居民忠实会员社区劳模原创达人终身成就奖金点子奖

QQ
发表于 2016-11-10 13:13:25 | 显示全部楼层
回复

使用道具 举报

该用户从未签到

3

主题

2212

回帖

21

积分

游客

积分
21

社区居民终身成就奖

QQ
发表于 2016-11-10 13:53:26 | 显示全部楼层
回复

使用道具 举报

  • TA的每日心情
    开心
    5 小时前
  • 签到天数: 115 天

    [LV.6]常住居民II

    32

    主题

    1万

    回帖

    3万

    积分

    三级逆天

    积分
    34360

    终身成就奖特殊贡献奖原创先锋奖社区居民忠实会员社区劳模最爱沙发原创达人优秀斑竹奖宣传大使奖

    QQ
    发表于 2016-11-12 08:30:15 | 显示全部楼层
    好帖好帖,学习学习,谢谢
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    6 小时前
  • 签到天数: 105 天

    [LV.6]常住居民II

    82

    主题

    1万

    回帖

    1万

    积分

    三级逆天

    积分
    10187

    社区居民社区劳模原创先锋奖终身成就奖特殊贡献奖优秀斑竹奖

    QQ
    发表于 2016-11-12 21:56:29 | 显示全部楼层
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    论坛开启做任务可以
    额外奖励金币快速赚
    积分升级了


    Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

    本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

    平平安安
    TOP
    快速回复 返回顶部 返回列表