我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 501|回复: 0

重温VHDL语言-分频器

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-3-29 19:07:53 | 显示全部楼层 |阅读模式
library ieee;0 X' H& D5 ]0 n6 T
use ieee.std_logic_1164.all;
--------------------------------------------------------------实体. D; R' J, Y* @8 P! u
entity IRDA_DIV is. W' m' ]' k% U% i3 U4 |# Y
port(
CLK:in std_logic;$ y# d7 Rw5 _+ w0 Y& U# F3 b
FO:out std_logic
);
end IRDA_DIV;
--------------------------------------------------------------结构体1 k: o+ w9 U& c; d1 ?* k. J+ n
architecture behav of IRDA_DIV is. F% @% n- J8 l$ w0 R+ D
signal count : integer range 0 to 48;
signal fotemp :std_logic;
begin
& Z: F8 L6 B7 kG1 C
process(CLK)
begin0 `% [: o8 B1 I2 y% L6 DU
if (CLK'event and CLK='1') then   -----上升沿触发( d1 _7 r! P5 ]8 M
if count=47 then
count<=0;) x8 C, g6 h& U' x* K
fotemp<=not fotemp;
else
count<=count+1;
end if;" h" r% v/ ?' @
FO<=fotemp;
end if;

end process;
end behav;



在学校学了VHDL,用的也少,现在工作,正好要用VHDL弄个分频器;
VHDL在学校也学的不好,现在重温一下;c. t( j2 e: Y9 I. K& A
对CLK进行48分频
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表