我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 491|回复: 0

问个软件仿真的问题

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-3-29 19:14:06 | 显示全部楼层 |阅读模式
在modelsim里面如果想要把内部信号仿真,怎么办,
比如,定义:/ x4 h8 X" O$ H
module cc(clk,clr,cout)1 xD0 D. z: V6 I( x; v* p( D& r
reg 【3:0】mm;) x) D+ I, ]# J; r; ?4 h+ i' n
在仿真的时候可以看到这个变量吗
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表