我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 633|回复: 0

EDA中的数据采集A/D转换控制模块ADZHKZ的设计

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-3-29 19:19:04 | 显示全部楼层 |阅读模式
A/D转换控制模块ADZHKZ主要实现对ADC0809进行模数转换的控制和转换后数据的BCD转换处理。  1.ADC08O9模数转换的控制' N- G, L0 V' z; i9 n9 m) m1 E/ M# ^
  ADC0809是CM0S的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。ADC0809的分辨率为8位,转换时间约100 PS,含锁存控制的8路多路开关,输出由三态缓冲器控制,单5V电源供电。7 j" m! G5 y/ P# n! V' A. N8 Z4 ~6 H* C
  如图1是ADC0809的管脚及主要控制信号时序图。其中,START是转换启动信号,高电平有效;ALE是3位通道选择地址(ADDC、ADDB、ADDA)信号的锁存信号(当模拟量送至某一输入端(如 IN1或IN2等)时,由3位地址信号选择,而地址信号由ALE锁存);EOC是转换情况状态信号,当启动转换约100μs后,EOC产生一个负脉冲,以示转换结束;在EOC的上升沿后9若使输出使能信号OE为高电平,则控制打开三态缓冲器,把转换好的8位数据结果输出至数据总线。$ _9 Fe& j. H" X7 c* D$ P
6 [4 _$ d% b2 X5 ^1 |. L" ]
<IMG class=1a alt="ADC0809的管脚及主要控制信号时序图 src="http://www.dzsc.com/data/uploadfile/20081021959971.gif" border=0>  如图1 ADC0809的管脚及主要控制信号时序图
  对于ADC0809模数转换的控制程序段的VHDL设计,根据ADC0809的A/D转换控制要求,我们可用一个状态机来实现,其状态转换如图2所示。) c( H4 _0 w, m0 B) X+ x

<IMG class=1a alt="ADC0809工作时的状态转换图 src="http://www.dzsc.com/data/uploadfile/20081021959672.gif" border=0>  如图2 ADC0809工作时的状态转换图( l& g3 C+ G$ j% G6 ?2 U1 F) n

http://www.csdic.com/
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表