我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 522|回复: 0

verilog奇数分频器遇到问题,请求高手指导一下

[复制链接]

该用户从未签到

1万

主题

1292

回帖

936

积分

管理员

积分
936

社区居民最爱沙发原创达人社区明星终身成就奖优秀斑竹奖宣传大使奖特殊贡献奖

QQ
发表于 2013-3-29 19:25:55 | 显示全部楼层 |阅读模式
最近在学习verilog,刚做了个奇数分频器,
用modelsim 5.7仿真波形都是OK的,
可用ISE却总是综合不了,有一个警告说clk1是常量,count就加不到2,
所以请大侠帮忙看看我的程序是不是有问题,谢谢啦!
module div (clkout,clkin,rst);/ d7 m- G2 z' ~& K% ]- \
$ D% V2 ^6 G" @
output clkout;
input clkin;
input rst;; \1 O8 M) n' o% M- H1 z
reg clkout;
reg clk1,clk2;: Z% S+ {# c* k- n
reg [1:0]count;W/ i' z) T) J
; g3 P$ d% I# n, r5 l9 V; r
always @(posedge clkin or negedge rst)
begin
if (!rst)
begin
clk1<=0;
count<=0;6 U4 E: G) l" S
end
else if(count==2)
  begin
  count<=0;. ^: V. k6 _8 Va5 V' K
  clk1<=~clk1;! j( M/ ^# o& T; ^! B( `" j
  end
else4 J, B$ j; S& j' \8 X8 @1 t$ P
count<=count+1;9 ]1 A) J7 s" a$ \
end

always @ (negedge clkin or negedge rst)9 t% l7 OJ# T9 i
begin
if(!rst)/ E# b# N# R3 }: ^+ F5 W
begin, c/ C! _2 V4 Z
  clk2<=0;
  count<=0;7 M' K$ i# R9 K- z) D# `3 F
end
else if(count==1)" F/ e% \a) |. \8 `
clk2<=~clk2;. Q4 f1 t% s! p* {$ k" }
end
9 a! w@6 p6 ^6 f
always @ (clk1 or clk2 or rst)
begin+ t- v4 n5 X: f, I
if(!rst)
clkout<=0;
else
clkout<=clk1^clk2;
end! N+ \1 g$ {4 @6 v9 ?
endmodule
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表