我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 668|回复: 1

[最新新闻] SMIC采用Synopsys的StarRC作为其寄生参数提取的标准解决方案

[复制链接]

该用户从未签到

3970

主题

666

回帖

28

积分

二级逆天

积分
28

社区居民忠实会员社区劳模原创达人终身成就奖

QQ
发表于 2016-10-30 14:52:08 | 显示全部楼层 |阅读模式
28nm PDK中提供用于数字和定制设计的StarRC技术文件


中芯国际集成电路制造有限公司(简称“中芯国际”,纽约证交所股票代码:SMI,香港联交所股票代码:981),世界领先的集成电路晶圆代工企业之一,中国内地规模最大、技术最先进的
集成电路晶圆制造
企业,与新思科技(Synopsys, Inc.,纳斯达克股票市场代码:SNPS)今日共同宣布,中芯国际已采用 Synopsys 的 StarRC
[sup]™ [/sup]
产品作为其28nm 工艺技术的寄生参数提取的标准解决方案。此次合作是 SMIC 和 Synopsys 不断深入合作的结果,可为共同的客户提供最佳的解决方案,满足他们不断增长的对先进节点上的准确性、性能和效率的要求。StarRC 解决方案可以为 SMIC 28nm 工艺提供物理级准确及快速的提取能力,而 SMIC 的28nm 工艺设计套件 (PDK) 中默认提供合格的 StarRC 技术文件,可供数字设计和定制设计使用。


StarRC 产品是 Synopsys Galaxy
[sup]™[/sup]
Design Platform 签核解决方案的重要组成部分,同样也是门级和晶体管级寄生参数提取的市场领军产品和行业标准。StarRC 具备优异的分布式计算处理能力,而且还具备SMC 抽取及快速 ECO 功能,从而得到优异的提取功能和效率,同时还能保证行业领先的准确性。StarRC 产品具有广泛的适用性,从亿门级数字系统芯片 (SoC) 设计到定制内存、IP、标准单元和模拟设计均包括在内。它与 Synopsys IC Compiler
[sup]™ [/sup]
II 布局布线和 PrimeTime
[sup]® [/sup]
静态时序分析集成后,允许设计人员实现更快的ECO设计收敛,同时减少所用磁盘空间和处理器内核资源。在定制设计环境中,设计人员可以在寄生参数视图和电路设计视图之间交叉探测,可将提取到的寄生参数标示于电路设计图中并执行可视化调试。除此之外,StarRC 还可对性能进行充分优化,以得到更精简的网表从而减少对存储空间的要求,而这将大大减少后续工具的仿真时间。SMIC 和 Synopsys 的合作能够在 SMIC 的28nm PDK 中为共同的客户提供合格的 StarRC 技术文件,使其可使用物理级准确高效的提取解决方案来进行针对 SMIC 28nm 节点的设计。


SMIC 技术开发资深总监黄国勋说:“28nm 对于半导体公司来说是一个重要的节点,我们也在不断推进28nm 工艺技术,而合格设计工具的可用性对于支持我们不断扩增的全球客户群至关重要。与 Synopsys 的合作,体现了我们将长期致力于以世界一流的制造工艺为客户提供高质量技术和标准。StarRC 具备可靠的物理级准确性,以及拥有可用于数字和定制设计中所需的全面功能,它在 SMIC 28nm PDK 中的应用为我们共同的客户提供了更加丰富的资源,从而使他们在设计中能够增强信心、提高效率。”


Synopsys 设计事业部市场营销副总裁 Bijan Kiani 说:“满足客户不断增长的需要,以解决复杂性和缩短设计与分析周期,对于推动客户在先进的工艺技术取得成功至关重要。SMIC 在28nm工艺节点采用 StarRC 作为其寄生参数提取的标准解决方案,有利于帮助我们建立在行业中技术领先的地位,同时对我们共同的客户提供了有利的支持。”
回复

使用道具 举报

该用户从未签到

3

主题

2212

回帖

21

积分

游客

积分
21

社区居民终身成就奖

QQ
发表于 2016-10-31 11:07:24 | 显示全部楼层
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表