我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 1206|回复: 2

[零组件/半导体] 当前国内集成电路半导体行业现状及应对策略

[复制链接]
  • TA的每日心情
    开心
    14 小时前
  • 签到天数: 8 天

    [LV.3]偶尔看看II

    101

    主题

    164

    回帖

    966

    积分

    二级逆天

    积分
    966

    社区居民终身成就奖金点子奖

    QQ
    发表于 2020-2-21 10:43:28 | 显示全部楼层 |阅读模式
    集成电路产业链庞大而复杂,主要分为集成电路设计、集成电路制造以及集成电路封装测试等三个主要环节,同时每个环节配套以不同的制造设备和生产原材料等辅助环节。我们下文将从制造设备及原材料、集成电路设计、集成电路制造和封装测试等四个环节出发,分析每个环节国内相关环节的现状、面临的问题,并提出对策建议。


    全球与中国半导体设备现状

    半导体设备位于整个半导体产业链的上游,在新建晶圆厂中半导体设备支出的占比 普遍达到 80%。一条晶圆制造新建产线的资本支出占比如下:厂房 20%、晶圆制 造设备 65%、组装封装设备 5%,测试设备 7%,其他 3%。其中晶圆制造设备在 半导体设备中占比最大,进一步细分晶圆制造设备类型,光刻机占比 30%,刻蚀 20%,PVD15%,CVD10%,量测 10%,离子注入 5%,抛光 5%,扩散 5%。

    17 年全球半导体设备市场总量约为 566 亿美元,同比+37%,2018 年预计在 600 亿美元规模。中国是全球半导体设备的第三大市场,17 年中国半导体设备 82.3 亿 元,增速 27%。


    中国半导体设备现状

    半导体设备具备极高的门槛和壁垒,全球半导体设备主要被日美所垄断,核心设备 如光刻、刻蚀、PVD、CVD、氧化/扩散等设备的 top3 市占率普遍在 90%以上。 目前光刻机、刻蚀、镀膜、量测、清洗、离子注入等核心设备的国产率普遍较低。 经过多年培育,国产半导体设备已经取得较大进展,整体水平达到 28nm,并在 14nm 和7nm 实现了部分设备的突破。
    具体来讲,28nm 的刻蚀机、薄膜沉积设备、氧化扩散炉、清洗设备和离子注入机 已经实现量产;14nm 的硅/金属刻蚀机、薄膜沉积设备、单片退火设备和清洗设备 已经开发成功。8 英寸的 CMP 设备也已在客户端进行验证;7nm 的介质刻蚀机已 被中微半导体开发成功;上海微电子已经实现 90nm 光刻机的国产化。在中低端制 程,国产化率有望得到显著提升,先进制程产线为保证产品良率,目前仍将以采购 海外设备为主。
    光刻机:高精度光刻机被ASML、尼康、佳能三家垄断,上海微电子是国内顶尖的 光刻机制造商,公司封装光刻机国内市占率 80%,全球 40%,光刻机实现 90nm 制程,并有望延伸至 65nm 和 45nm,公司承担多个国家重大科技专项及 02 专项 任务。
    刻蚀设备:前三家厂商 LAM、东京电子、应用材料市占率超过 90%,国产刻蚀机 市占率仅 6%,中微半导体是唯一打入台积电 7nm 制程的中国设备商,北方华创的8 英寸等离子蚀刻机进入中芯国际,封装环节刻蚀机基本实现国产化,国产化率 近90%。
    镀膜设备:分为 PVD 和 CVD,其中 PVD 前三大厂商 AMAT、Evatec、Ulvac 占 比96.2%,CVD 三大厂商 AMAT、TEL、LAM 占比 70%,国内厂商北方华创实现 28nm PVD 设备的突破,16 年国内市占率已经有 10%,封装设备中国产 PVD 市 占率接近 70%。CVD 中的 MOCVD 是国产化最晚的领域,目前已有 20%的国产 化率,
    量测设备:主要包括自动检测设备(ATE)、分选机、探针台等。前端检测前三甲 厂商科磊、应材、日立占比 72%,后道测试设备厂商美国泰瑞达、日本爱德万占 全球份额 64%,分选机厂商科林、爱德万、爱普生等市占率高达 70%,而探针台 基本由东京精密、东京电子、SEMES 垄断。国内厂商长川科技测试设备主要在中 低端市场,主要在数模混合测试机和功率测试机。
    清洗设备:主要设备厂商 SCREEN、东京电子、LAM 合计占比88%,目前国内的 盛美半导体的 SAPS 产品已经进入一流半导体制造商产线。北方华创整合 Akrion 后提供单片清晰和槽式清洗设备,已经进入中芯国际产线。至纯科技已经取得湿法 清晰设备的批量订单,未来五年超过 200 台的订单。
    离子注入设备:应用材料占据粒子注入机的 70%以上的市场,高端离子注入机前 三家包揽 97%市场份额,行业高度集中。目前国内只有凯世通和中科信有离子注 入机的研发生产能力,17 年凯世通已经销售太阳能离子注入机15 台。


    中国半导体设备的政策支持

    从政策上看,随着《国家集成电路产业发展推进纲要》《中国制造2025》等纲领的 退出,国内针对半导体装备的税收优惠、地方政策支持逐步形成合力,为本土半导 体设备厂商的投融资、研发创新、产能扩张、人才引进等创造良好环境。
    财政部先后于 2008、2012、2018 年出台税收政策减免集成电路生产企业所得税, 对 2018 年以后投资新设企业或项目:1)线宽<130nm 且经营期在 10 年以上的,第 1~2 年免征企业所得税,第 3~5 年减半征收企业所得税;2)线宽<65nm 或投资 额>150亿元,且经营期在15年以上的,第1~5年免征企业所得税,第6~10年减半征 收企业所得税。2015 年财政部等四部委针对集成电路封测企业、关键材料和设备 企业出台税收优惠政策,自获利年度起第1~2年免征企业所得税,第3~5年减半征收 企业所得税。
    从地方产业政策来看,多地退出集成电路产业扶持政策及发展规划,从投融资、企 业培育、研发、人才、知识产权、进出口以及政府管理等方面退出一系列政策,对 符合要求的企业给予奖励和研发补助。


    中国半导体设备的问题、不足与解决方案

    半导体设备门槛高,投入期长,属于典型技术和资本密集型行业,技术差距大。打 破垄断、提高国产化率是当务之急。


    我国半导体设备行业面临以下几个主要问题:



    1, 研发投入有限,技术差距追赶缓慢。

    近年我国半导体设备虽已取得长足进步,在各个领域已经实现 0 的突破,但是整体 研发投入相对海外依然较低,此外先进工艺节点的不断推进,使得国内的技术追赶 之路困难重重。企业虽然持续加大研发力度,但随着摩尔定律演进,越先进的工艺 制程研发成本就越高,能投入资金跟上脚步的半导体设备厂商已经越来越少,无形 中增加了技术追赶的难度。
    解决方案:技术难点的攻克可以通过国家重大专项的推进完成,企业和政府共同承 担高端设备的技术攻克,减轻企业端的研发投入压力,同时继续鼓励国内新建晶圆 厂推动设备的国产化替代,给国内半导体设备厂商试错与提升的机会。针对不同的 半导体设备制定国产化替代节点时间,对企业研发投入进行补贴,并积极利用国内 各种融资途径扩大规模。


    2,高端人才引进不足,核心人才流失,后备人才不足

    人才已经成为中国半导体设备产业成长的瓶颈点,半导体人才的培养是一个漫长的 过程,尤其是在先进工艺、先进技术方面,更是花钱可能也达不到效果的。行业人 才薪资相比海外偏低,保证新进人才是延续强劲成长、打破半导体设产业成长瓶颈 的关键。2018 年全国本硕博毕业生数量超过800 万人,但集成电路专业领域的高 校毕业生中只有 3 万人进入本行业就业。
    积极通过人才引进,股权激励,政府补助等方式进行高端人才的引进,政府牵头推 进半导体行业的人才培养,通过产学研结合的方式,同时对半导体行业人才的住房 等问题上进行政策倾斜。


    3,科学布局,政府引导合理规划

    集成电路产业发展的初期必须由政府来主导,当前集成电路的产业投资主体分散, 管理主体也非常分散,这对产业发展非常不利。到了目前阶段,制定规划,确立战 略,科学布局,制定政策可能非常重要。政府要管理,但不能管理过度。管理一过 度就管死,条条框框增多,政策多门,可能导致效率低下。


    半导体材料现状、问题及应对措施

    半导体材料产业分布广泛,门类众多,主要包括晶圆制造用硅和硅基材、光刻 胶、高纯化学试剂、电子气体、靶材、抛光液等。以半导体产业链上下游来分类,半导体材料可以分为晶圆制造材料和封装材料。2016 年全球晶圆制造材 料和封装材料市场规模分别为 247 亿美元和 196 亿美元。我国是全球最大的 半导体消费国,也是全球最大的半导体材料需求国。2016 年全球半导体材料市 场规模为 443 亿美金,其中中国大陆市场销售额为 65 亿美金,占全球总额的 15%,超过日本、美国等半导体强国,仅次于台湾、韩国,位列全球第三。
    同半导体设备等配套设施一样,我国半导体材料也面临着自给率不足、规模小、 高端占比低等问题。与国外企业相比,我国半导体材料企业实力较弱,但随着 国家政策的支持、国内企业研发和产业投入增加等,各种材料领域均已取得突 破,在逐步实现部分国产替代。下面我们集中就几种核心的半导体原材料的现 状、面临的问题以及应对措施进行分析。


    1、硅片:

    硅单晶圆片是最常用的半导体材料,是芯片生产过程中必不可少的、成本占比 最高的材料。制造一个芯片,需要先将普通的硅原料制造成硅单晶圆片,然后 再通过一系列工艺步骤将硅单晶圆片制造成芯片。从市场规模上来看,2016 年 全球半导体硅片市场规模为 85 亿美元,占半导体制造材料总规模比重达 33%; 2016 年国内半导体硅片市场规模为 119 亿元人民币,占国内半导体制造材料 总规模比重达 36%。无论是全球还是国内市场,硅片都是半导体制造上游材料 中占比最大的一块。
    全球最大的 5 家厂商(主要是德国及日本厂商)几乎囊括了全球 95%的 300mm 硅晶圆片、86%的 200mm 硅晶圆片和 56%的 150 mm 及以下尺寸 硅晶圆片。这一领域主要由日本厂商垄断,我国 6 英寸硅片国产化率为 50%, 8 英寸硅片国产化率为 10%,12 英寸硅片尚未量产,完全依赖于进口。2017 年全球的集成电路硅片企业中,日本信越化学份额 28%,日本 SUMCO 份额 25%,台湾环球晶圆份额 17%,德国 Siltronic 份额 15%,韩国 LG 9%。这五 家合计占了全球的 94%的份额。


    2、光刻胶:

    半导体光刻胶的市场较大,国产替代需求强烈。2015 年中国光刻胶市场的总 需求为 4390 吨,为 2007 年的 5.7 倍,目前半导体光刻胶的供应厂商要集中 在美国、日本、欧洲以及韩国等地。中国的光刻胶供应厂商多集中于 PCB 光 刻胶、LCD 光刻胶等低端领域。当前国内能够生产半导体光刻胶的厂商有北京 科华微电子和苏州瑞红等。


    3、靶材:

    高纯溅射靶材主要是指纯度为 99.9%-99.9999%(3N-6N 之间)的金属或非金 属靶材,应用于电子元器件制造的物理气象沉积(PVD)工艺,是制备晶圆、面 板、太阳能电池等表面电子薄膜的关键材料。 溅射是制备薄膜材料的主要技术 之一,它利用离子源产生的离子,在真空中经过加速聚集而形成高速的离子束 流,轰击固体表面,离子和固体表面原子发生动能交换,使固体表面的原子离 开固体并沉积在基底表面,被轰击的固体是用溅射法沉积薄膜的原材料,称为 溅射靶材。
    在晶圆制作环节,半导体用溅射靶材主要用于晶圆导电层及阻挡层和金属栅极 的制作,主要用到铝、钛、铜、钽等金属,芯片封装用金属靶材于晶圆制作类 似,主要有铜、铝、钛等。


    4、湿电子化学品

    湿电子化学品(Wet Chemicals)指为微电子、光电子湿法工艺(主要包括湿法刻蚀、湿法清洗)制程中使用的各种电子化工材料。 湿电子化学品按用途可分为通用 化学品(又称超净高纯试剂)和功能性化学品(以光刻胶配套试剂为代表)。其中超 净高纯试剂一般要求化学试剂中控制颗粒的粒径在 0.5m 以下,杂质含量低 于 ppm 级,是化学试剂中对颗粒控制、杂质含量要求最高的试剂。功能湿电 子化学品是指通过复配手段达到特殊功能、满足制造中特殊工艺需求的配方类 或复配类化学品。功能性湿电子一般配合光刻胶用,包括显影液、漂洗液、剥 离液等。2016 年全球湿电子化学品市场规模约为 11.1 亿美元。 湿电子化学 品作为新能源、现代通信、新一代电子信息技术、新型显示技术的关键化学材 料,其全球市场规模自 21 世纪初开始快速增长。根据 SEMI 数据显示, 2016 年全球湿电子化学品市场规模约为 11.1 亿美元。


    应对措施

    随着我国半导体产业制造能力的提升,配套原材料的国产化继续提上日程。集 成电路对原材料纯度等要求非常高,因为集成电路产品的价值非常高,导致原 材料供应商的选择非常严谨。我们建议对半导体原材料产业加大资源、人力等 投入的同时,可以在政策方面对下游制造企业使用国产化原材料进行补贴,推 动下游企业与上游原材料企业共同进步,进口实现产业链的全国产化。同时在 新材料研发方面,国家在政策上给相关企业、人才等给予引导和支持。


    芯片设计现状、问题以及对策

    全球半导体分为 IDM(Integrated Device Manufacture,集成电路制造)模式和垂 直分工模式两种商业模式,老牌大厂由于历史原因,多为 IDM 模式。随着集 成电路技术演进,摩尔定律逼近极限,各环节技术、资金壁垒日渐提高,传统 IDM 模式弊端凸显,新锐厂商多选择 Fabless(无晶圆厂)模式,轻装追赶。 集成电路设计为知识密集型产业,国际上比较典型的参与者主要有 AMD、英伟 达、高通、联发科、苹果华为海思等公司。
    芯片设计可以分为数字集成电路设计和模拟集成电路设计两大类。模拟集成电 路设计包括电源集成电路、射频集成电路等设计。模拟集成电路包括运算放大 器,线性整流器,锁相环,振荡电路,有源滤波器等。相较数字集成电路设计, 模拟集成电路设计与半导体器件的物理材料性质有着更大的关联。数字集成电 路设计包括系统定义,寄存器传输级设计,物理设计,设计过程中的特定时间 点,还需要多次进行逻辑功能,时序约束,设计规则方面的检查,调试,以确 保设计的最终成果合乎最初的设计收敛目标。


    全球芯片设计产业现状

    全球芯片设计产业龙头企业主要分布在美国,中国,台湾等国家地区, 2018 年, 中国企业海思半导体首次入围全球芯片设计前十企业,营收规模排名全球第五。 从整体来看,美国企业仍然占据了绝对主流,前 10 大芯片设计公司中有 8 家都 来自美国,其他仅有海思半导体和联发科(中国台湾)上榜。
    2018 年全球芯片设计产业规模大约为 1139 亿美元,同比增速 14%,过去五年 符合增速约为 6.6%。由于近几年智能手机等终端对于芯片性能和数量需求的快 速提升,全球芯片设计产业得以快速增长。特别是随着整体芯片设计工艺的持 续升级,芯片设计产业充分享受了下游芯片制造大规模投资的产业红利,产业持续高速增长。






    中国芯片设计现状

    2018年中国芯片设计产业规模为 2519亿元,同比增长 21%, 5年复合增速 24%, 远超全球整体复合增速 6.6%。国内庞大的市场需求,但是中国芯片企业规模较 小,每年芯片我国进口金额仍然在快速增长,国产芯片设计企业具备十分巨大 的国产替代市场。除了海思半导体之外,我国 IC 设计产业企业发展呈现井喷式 增长的势头。截至 2016 年底,我国共有 IC 设计企业 1362 家,2015 年仅有 736 家,同比增长率高达 85%。我国至今已有 11 家企业跻身全球 IC 设计企业 前 50 强。


    国内芯片设计的问题

    中国芯片设计产业目前主要的问题主要包括:企业规模小,核心市场和客户供应体 系进入难度较大,技术能力仍与国外企业具有较大差距。
    芯片软件原创的设计工具缺乏。EDA(Electronics Design Automation)软件被誉 为集成电路的“摇篮”、命门,是芯片设计最重要的软件设计工具。利用EDA 工具, 工程师将芯片的电路设计、性能分析、设计出 IC 版图的整个过程交由计算机处理 完成。但就是这一如此重要的产业,不管是国内还是全球的市场份额主要都由三大 巨头 Synopsys(新思科技)、Cadence(楷登电子)和 Mentor(明导)垄断。三 大EDA 企业占据全球 60%以上的市场,各家在部分领域又掌握绝对优势。而在中 国市场,国产 EDA 只占一成份额,国内 EDA 厂商的生存空间十分受限。市场调 研机构 Euromonitor 数据显示,2018 年 Synopsys、Cadence 和 Mentor 全球的市 场份额分别为 32%、22%和 10%。
    国内 EDA 设计软件厂商主要有华大九天、杭州广立微、苏州芯禾、济南槪伦、天 津蓝海微等企业。与国际巨头能提供整套 EDA 工具不同,国内 EDA 企业产品不 全,只在局部形成一定突破。作为国内最大的EDA 公司,华大九天也只能提供1/3左右的 EDA 工具。2018 年国内 EDA 销售额约 5 亿美元(约合人民币 33 亿元)。 其中,国产 EDA 工具销售额 3.4 亿元,只占了国内市场的 10%。而 Synopsys、 Cadence 去年的年销售额分别达 30 亿美元和 21 亿美元,差距甚大。
    EDA 设计软件具备壁垒高,投入期长,生态圈缺失,产业链支持薄弱,人才缺失 等问题,一个 EDA 工具从技术开发到能够被市场接受基本上需要五六年的时间。 EDA 是芯片设计和制造的纽带和桥梁,需要制造和设计的支持,只有不断应用和 迭代,产品才能不断进步。发展 EDA 产业最关键的还是要靠人,不管是基础人才 还是高端人才,都是国内目前匮乏的。国内EDA设计公司平均每年工资上涨10%, 但是和国外同行比仍差 20%~30%,而与部分互联网企业差 50%。企业还在生死 线上挣扎时,根本无暇顾及人才培养。 解决 EDA 的国产化问题,除了政府加大支持,要做好持续大投入的准备,并出台 一些人才激励的政策,从国外吸引优秀的人才回来。
    模拟芯片设计能力较低。相较数字集成电路设计,模拟集成电路设计与半导体 器件的物理材料性质有着更大的关联。模拟芯片的设计除了电路设计之外,更 多需要对材料物理性质的理解。不同模拟器件的设计需要设计电气、材料参数、 材料加工等多方面的能力,同时不同应用场景所用的芯片要求不同,使得模拟 芯片设计需要多种类跨学科的知识。我国模拟芯片产业发展交往,导致模拟芯 片设计能力不足。


    我们认为要想解决这些问题,可以参考海思半导体的成功发展路径:

    1、大客户支持:海思半导体能够成功最核心的竞争力在于华为母公司的订单支 持。华为技术 2018 年半导体芯片总采购额高达 211 亿美元,这给海思半导体 提供了巨大的优先市场,可以说没有母公司华为的大力支持就没有海思半导体 今天的成功。华为能够如此大规模的采购,一方面是对子公司的全力支持,同 时也是基于其强大的经营实力。
    2、高强度研发投入:华为是一家业务多元化同时营收规模快速增长的企业,同 时还一直保持着高强度的研发投入,2018 年华为营业收入 7200 亿元,研发投 入 1015 亿元,近十年研发投入超过 4850 亿元,超过中国 BAT 等互联网公司 研发投入总和。对于半导体行业,持续高强度的研发投入是企业发展的必须。 如果对比海外半导体龙头的研发投入,华为是唯一能够跟上国外龙头企业的中 国企业,这也是国内大部分半导体企业一直在成长但是却与国外企业差距越来 越大的核心原因。
    3、充分利用 FAB 产业红利:不同于美国,欧洲,日本和韩国这些半导体行业 先进的国家,中国,包括台湾地区的半导体产业模式有着着根本的不同。欧洲, 日本和韩国都是以 IDM 企业为主,Fabless 产业比例基本为零;只有美国是 IDM 模式和 Fabless 模式均衡发展,并且都占据全球较高的份额;而中国和台湾则 正好相反,Fabless 占据份额很高,而 IDM 占比明显偏低。我们认为这主要源 于中国和台湾的半导体发展时点最晚,并且主要得益于全球 Fabless 模式向台 湾和大陆转移的产业趋势,这就导致中国已经错失了早期 IDM 模式发展的黄金 阶段,只能从相对容易的 Fabless 开始介入半导体行业。因此,我们认为至少 在未来相当长一段时间内,中国半导体产业仍然更适合 Fabless 模式发展,而 IDM 模式需要国内相关上游设备,材料以及晶圆代工厂的逐步摸索合作,这会 是一个相对漫长的过程。
    4、布局国内优势下游产业:过去 40 年改革开放发展中,国内企业在家电、电 脑、安防监控、服务器、路由器、无线通信设备以及智能手机等产品的制造能 力全球首屈一指。下游系统产品端的巨大需求将成为未来国内电子产业自主可控、创新升级的核心优势。未来在产业链国产化配套需求趋势下,国内终端产 品使用国产芯片,在应用端提供试错改进提升的机会,同时通过上游国产配套 降低采购成本促进下游整机产品形成核心竞争力,这将是是国内芯片产业的有 效突破机会。


    集成电路制造环节现状、问题及应对措施

    集成电路(IC,integrated circuit)制造是将设计成型的集成电路图实现的过程,在 硅片等衬底材料基础上,通过高尖端设备,经过氧化、光刻、扩散、外延、测试等 半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及 它们之间的连接导线全部集成在一小块硅片上,制备出具备特定功能的集成电路, 又称芯片。
    集成电路,按其功能、结构的不同,可以分为模拟集成电路、数字集成电路和数/ 模混合集成电路三大类。模拟集成电路用来产生、放大和处理各种幅度随时间变化 的模拟信号(例如半导体收音机的音频信号、录放机的磁带信号等),其输入信号 和输出信号成比例关系。数字集成电路则是用来产生、放大和处理各种数字信号(例 如 5G 手机、数码相机、电脑 CPU、数字电视的逻辑控制和重放的音频信号和视频 信号)。


    集成电路制造环节现状

    随着半导体产业的发展,投资规模越来越大,产业分工越来越明确。产业龙头公司 逐步从早期的垂直整合生产(IDM,integrated device manufacturer)转向专业化分 工,出现专业化的芯片设计公司(Fabless),专业的芯片制造公司(Foundry)以及专业 的封装测试公司。我们从技术能力、规模等角度分析目前全球芯片制造领域的格局。
    全球 IC 制造领域格局。目前全球 IC 代工制造领头企业为中国台湾的台积电,2018 年收入为 303.89 亿美元,占全球前十大 IC 制造规模收入比例超过 50%。中国大陆 企业在前十位的分别有中芯国际和华虹半导体,2018 年收入分别为 33.78 亿美元和 9.45 亿美元,占全球前十大 IC 制造规模收入比例分别为 5.64%和 1.58%。
    技术水平格局。集成电路的技术水平核心指标是特征尺寸,特征尺寸是指半导体器 件中的最小尺寸。特征尺寸越小,芯片的集成度越高,性能越好,功耗越低,公司 的制造水平越高。从竞争格局现状来看,目前国内 IC 制造能力与国际先进比较, 制造能力落后 5-6 年,制程能力相差 2 代到 2.5 代。
    随着进入 7nm 以及更高制程周期,领头企业与追赶企业的差距在逐步扩大。例如, 随着工艺难度的提升,开发难度不断增大,投入资金要求越来越大,格罗方德以及联电短期内已经放弃往 7nm 制程的升级。


    提升芯片制造能力的应对措施

    随着下游终端产品例如智能手机要求的性能越来越高,高端芯片如华为海思麒麟 990 芯片、苹果 A12 系列芯片、高通骁龙855 系列芯片等都采用7nm 制程,两家 具备高端制程能力的公司如三星英特尔等公司由于自身产业链因素,高端制程主 要用于自身产品生产。目前大部分高端芯片特别是 7nm 制程及以上的芯片制造, 目前大部分市场主要由台积电占据。
    国内两家芯片制造公司中芯国际、华虹半导体都已经进入 14nm 制程的风险量产阶 段,但其核心量产制程仅在28nm,意味着能够生产市场上60%的芯片。国内企业 与国际先进水平企业仍然存在较大差距,并且未来在进入更高阶制程过程中面临的 压力越来越大。
    应对措施方面,我们建议国内企业在积极做好14nm 量产准备的同时,在设备、制 造工艺、人才等方面及早做好储备,加大国际顶尖人才的引进。目前国家半导体产 业政策环境相对较好,先进制程方面国际领先企业已经成熟量产,说明设备、材料 等产业链配套环节已经成熟。国内企业需要追赶的核心要素是培养人才,引进人才, 例如中芯国际在2017年10月份引进台积电及三星前技术核心梁孟松后只用了一年 半左右时间,就在 2018 年上半年就实现 14nmFinFET 工艺正式的量产,目前的良品 率达到 95%以上,所以引进国际顶尖人才实现产业升级是核心环节。


    半导体封测行业现状、问题及应对措施

    半导体封测目前属于国内半导体产业链中有望率先实现全面国产替代的领域,并且 当前全球封测市场份额的重心继续向国内转移。根据中国半导体行业协会统计, 2018 年中国集成电路产业封测业销售额达333 亿美元,而全球封测行业2018 年 约560 亿美元,中国封测行业占全球市场份额约达 59%。

    从封测行业企业竞争格局看,虽然全球目前排名前 2 的公司为日月光和安靠,但中 国企业在国际上已拥有较强竞争力。2018 年长电科技、华天科技、通富微电三家 企业在全球市场市占率达 17%,且在封装技术能力较为全面,掌握了全球较为领 先的先进封装技术,未来有望进一步抢占更多市场份额。

    从技术发展趋势,目前国际先进封装技术发展趋势主要有 FC BGA(倒装芯片球栅 格阵列的封装格式)、WLCSP(晶圆级封装)、FO-WLP(晶圆级扇出封装)、Sip(系统 级封装)等技术。这些先进封装技术主要应用在手机、可穿戴设备等小型化高附加 值电子设备中。
    目前中国龙头企业如长电科技、华天科技已拥有此类先进封装技术,其技术水平虽有所落后国际龙头企业,但差距较小,预计未来 5~8 年,有望实现全面赶超。
    建议国内企业加强精细化运营,优化内部管理流程,积极提升服务能力,在服务全 球顶级客户方面做好全面准备。在此基础上,力争国内封装测试产业链,达到全球 一流水平。
    回复

    使用道具 举报

  • TA的每日心情

    2024-9-30 15:00
  • 签到天数: 70 天

    [LV.6]常住居民II

    50

    主题

    2241

    回帖

    2801

    积分

    二级逆天

    积分
    2801

    终身成就奖优秀斑竹奖

    QQ
    发表于 2020-2-21 15:23:35 | 显示全部楼层
    回复

    使用道具 举报

    该用户从未签到

    7

    主题

    70

    回帖

    0

    积分

    二级逆天

    积分
    0

    终身成就奖

    发表于 2020-2-21 16:39:35 | 显示全部楼层
    全产业链发展肯定难度大,需要技术积累过程,好多不是靠钱就能买到的
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    论坛开启做任务可以
    额外奖励金币快速赚
    积分升级了


    Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

    本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

    平平安安
    TOP
    快速回复 返回顶部 返回列表