我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索
查看: 527|回复: 3

CPLD英文Complex Programming logic device复杂可编程逻辑器件扫盲贴

[复制链接]

该用户从未签到

77

主题

359

回帖

0

积分

二级逆天

积分
0

社区居民终身成就奖金点子奖

QQ
发表于 2021-6-29 12:51:48 | 显示全部楼层 |阅读模式


CPLD英文Complex Programming logic device复杂可编程逻辑器件扫盲贴,与FPGA区别




1、什么是CPLD?
CPLD全英文名称为Complex Programming logic device,中文为复杂可编程逻辑器件。
2、CPLD工作原理
CPLD采用CMOS EPROM、EEPROM、快闪存储器和SRAM等编程技术,从而构成了高密度、高速度和低功耗的可编程逻辑器件。
3、CPLD的组成
CPLD由逻辑块、可编程互连通道和I/O块三部分构成 。
4、CPLD开发
1)、编程语言有Verilog、VHDL;
2)、开发工具:quartusII、ISE等。
5、常见CPLD:一般使用altera、xilinx的,国产CPLD用过一款安路的。安路有自己的开发环境,下载器等。
6、由于CPLD和FPGA结构上的差异,具有各自的特点:
1)、CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。
2)、CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。
3)、在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程;FPGA可在逻辑门下编程,而CPLD是在逻辑块下编程。
4)、FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。
5)、CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单。而FPGA的编程信息需存放在外部存储器上,使用方法复杂。
6)、CPLD的速度比FPGA快,并且具有较大的时间可预测性。这是由于FPGA是门级编程,并且CLB之间采用分布式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联是集中式的。
7)、在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中。其优点是可以编程任意次,可在工作中快速编程,从而实现板级和系统级的动态配置。
8)、CPLD保密性好,FPGA保密性差。
9)、一般情况下,CPLD的功耗要比FPGA大,且集成度越高越明显。
回复

使用道具 举报

该用户从未签到

77

主题

359

回帖

0

积分

二级逆天

积分
0

社区居民终身成就奖金点子奖

QQ
 楼主| 发表于 2021-7-6 01:20:26 | 显示全部楼层
回复

使用道具 举报

该用户从未签到

77

主题

359

回帖

0

积分

二级逆天

积分
0

社区居民终身成就奖金点子奖

QQ
 楼主| 发表于 2021-7-10 08:57:46 | 显示全部楼层
回复

使用道具 举报

该用户从未签到

77

主题

359

回帖

0

积分

二级逆天

积分
0

社区居民终身成就奖金点子奖

QQ
 楼主| 发表于 2021-8-29 00:19:34 | 显示全部楼层
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
快速回复 返回顶部 返回列表